type dom1 {B64, D64, E64, F64, J64, K25, L64, S64, V25 }; dom2 {E0, E1, E2, E3, E5 }; dom3 {M5, M6, M7, M8, M9, MA, MB, MC, MD, ME, MF, MG, MH, MJ, MK, ML, MM, MN, MS, MT, MU, MY, ND1G, NM0C, NM2K }; dom4 {DIESEL, ESS }; dom5 {AFSU, ALLE, ARGE, AUST, AUTR, BELG, BRES, CETI, CHIL, COLO, DAIB, DAIC, DAID, DAIF, DANE, DOTO, ESPA, EUOR, FINL, FRAN, GRBR, GREC, HOLL, HONG, IRLA, ISLA, ISRA, ITAL, JAPO, MAGH, MARO, NORV, POLO, PORT, SLVQ, SUED, SUIS, TAIW, TCHE, TURQ, URUG, YOUG }; dom6 {DD, DG }; dom7 {GDFROI, TEMP }; dom8 {CPLG, CPLN }; dom9 {DA, DM }; dom10 {SANCOA ,temp }; dom11 {ABS, SSABS }; dom12 {GALERI, SGALER }; dom13 {CA, CHAUFO }; dom14 {CAPVMA, CATOEL, CATOMA, TN, TO, TODEGO }; dom15 {PBCH, PBNCH }; dom16 {VC, VT }; dom17 {SPRTEL ,temp }; dom18 {ELA, SSELA }; dom19 {CPE, SSCPE }; dom20 {SSTIR, TIR }; dom21 {RETROE, RETROR }; dom22 {REGSIT, SSRSIT }; dom23 {PROJAB, SPROJA }; dom24 {SSARCE ,temp }; dom25 {CUSFIX, CUSPIV, SANCUS }; dom26 {CLB, CLCGRI, SANCL }; dom27 {ADAC, SADAC }; dom28 {CCHBAG, SCCHBA }; dom29 {CUI, DRA }; dom30 {ATARPH, CRIT3ATRPH, SSATAR }; dom31 {BANAR, CRIT3SJAR, CRIT3SJARI, FBANAR, FBARAC, SBANAR }; dom32 {SGMANU, SGMEMO }; dom33 {KM, MILES }; dom34 {Autre167, CPK01, CPK02 }; dom35 {RIDOAR, SRIDAR }; dom36 {PTCAV ,temp }; dom37 {temp1, temp2}; dom38 {temp1, temp2}; dom39 {CATADI, SCATAD }; dom40 {EMBNOR, EMBPIL, SEMBRY }; dom41 {PNERFL, PNESTD }; dom42 {PHAN01, PHAN02, SSPHAN }; dom43 {ETPN01, ETPN02, SSETPN }; dom44 {Autre272, EQGPL, PREGPL }; dom45 {SUSNOR, SUSREN }; dom46 {RENTC, RETC }; dom47 {LVAVEL, LVAVMA }; dom48 {LVAREL, LVARMA, SSLVAR }; dom49 {Autre310, SSAMVA }; dom50 {SASURV ,temp }; dom51 {SGACHA, SGSCHA }; dom52 {COFIXE, COLOMB, COREHA, CORHLO }; dom53 {AVPFIL, SSPFIL }; dom54 {NAVIG, SNAVIG }; dom55 {CRIT2RHENF, RHENF, SRHENF }; dom56 {APL01, APL02 }; dom57 {AILAR, SAILAR }; dom58 {MGMAZE, MGMECO, MGMINI, MGMRNA, MGMRNE, MGMRTA, MGMRTE, MGMRXT, RL, RN, RT, RXE, SMONEQ }; dom59 {RUNLI, SRUNLI }; dom60 {LAVPH, SLAVPH }; dom61 {EVCFIX, EVCVAR }; dom62 {AOEF, SAOEF }; dom63 {VOLNRH, VOLRH }; dom64 {PANP00, PANP01, PANP02, PANP03, PANP05 }; dom65 {PLAFNT, PLAFT }; dom66 {JANALU, JANTOL }; dom67 {T0, T1, T2, T3, T5 }; dom68 {PSCOMI, PSCOMR, PSCOPO }; dom69 {PSPAMI, PSPAMR }; dom70 {ETAP01, ETAP03, SSETAP }; dom71 {FSTPO ,temp }; dom72 {DUCA, FUJI, KANG, ODIN, PARALL, PARBRE, PARGBR, PARPOL, PARTCH, SSEDNC, VRMI }; dom73 {TBOR00, TBOR01, TBOR02, TBOR03, TBOR05 }; dom74 {PBOR00, PBOR01, PBOR02, PBOR03, PBOR05 }; dom75 {MOCY01, MOCY02, MOCY03, MOCY04, MOCY05, MOCY06, MOCY07, MOCY08, MOCY10, MOCY11, MOCY12, SSMOCY }; dom76 {Autre408, NINAV1 }; dom77 {ABPA01, SSABPA }; dom78 {FIPOU, SFIPOU }; dom79 {ABCO01, SSABCO }; dom80 {ANSRAD, CRIT2X5KS, CRIT2X8KI, CRIT4X15CI, CRIT4X15KI, CRIT4X25KI, SRADIO }; dom81 {BVA4, BVM5 }; dom82 {NMAS01, NMAS02, NMAS03, NMAS04, NMAS05 }; dom83 {Autre432, PLARPT, PLARVN, PLARVO }; dom84 {ECLAR, SECLAR }; dom85 {CDCOF, SCDCOF }; dom86 {ACPLAR, SACPLA }; dom87 {MONORM, SURMO1 }; dom88 {Autre497, JANDIF }; dom89 {Autre513, EVA, EVE }; dom90 {ANTID, ANTIDI, SDPCLV }; dom91 {Autre613, TKO }; dom92 {Autre713, CPNT01, CPNT02, CPNT03, EQDIF }; dom93 {Autre714, BVDIF }; dom94 {CRIT1503, EU00, EU93, EU96 }; dom95 {CRIT1149CC, CRIT1390CC, CRIT1598CC, CRIT1870CC, CRIT1998CC }; dom96 {CRIT060CV, CRIT065CV, CRIT070CV, CRIT075CV, CRIT090CV, CRIT095CV, CRIT100CV, CRIT105CV, CRIT115CV, CRIT150CV }; dom97 {BCNTC, BCTC }; dom98 {AZE, Autre913, CPTECO }; dom99 {AD4, DP0, JB1, JB3, JC5 }; dom100 {CRIT620, CRIT622, CRIT624, CRIT700, CRIT701, CRIT702, CRIT703, CRIT710, CRIT714, CRIT720, CRIT730, CRIT731, CRIT732, CRIT733, CRIT734, CRIT736, CRIT740, CRIT750, CRIT751, CRIT752, CRIT764, CRIT784, CRIT786, CRIT788, CRIT790, CRIT791, CRIT796, CRIT797, CRIT798 }; dom101 {D7F, E7J, F3R, F4R, F7R, F8Q, F9Q, K4J, K4M, K7M }; variable dom1 var1; dom2 var2; dom3 var3; dom4 var4; dom5 var5; dom6 var6; dom7 var7; dom8 var8; dom9 var9; dom10 var10; dom11 var11; dom12 var12; dom13 var13; dom14 var14; dom15 var15; dom16 var16; dom17 var17; dom18 var18; dom19 var19; dom20 var20; dom21 var21; dom22 var22; dom23 var23; dom24 var24; dom25 var25; dom26 var26; dom27 var27; dom28 var28; dom29 var29; dom30 var30; dom31 var31; dom32 var32; dom33 var33; dom34 var34; dom35 var35; dom36 var36; dom39 var39; dom40 var40; dom41 var41; dom42 var42; dom43 var43; dom44 var44; dom45 var45; dom46 var46; dom47 var47; dom48 var48; dom49 var49; dom50 var50; dom51 var51; dom52 var52; dom53 var53; dom54 var54; dom55 var55; dom56 var56; dom57 var57; dom58 var58; dom59 var59; dom60 var60; dom61 var61; dom62 var62; dom63 var63; dom64 var64; dom65 var65; dom66 var66; dom67 var67; dom68 var68; dom69 var69; dom70 var70; dom71 var71; dom72 var72; dom73 var73; dom74 var74; dom75 var75; dom76 var76; dom77 var77; dom78 var78; dom79 var79; dom80 var80; dom81 var81; dom82 var82; dom83 var83; dom84 var84; dom85 var85; dom86 var86; dom87 var87; dom88 var88; dom89 var89; dom90 var90; dom91 var91; dom92 var92; dom93 var93; dom94 var94; dom95 var95; dom96 var96; dom97 var97; dom98 var98; dom99 var99; dom100 var100; dom101 var101; rule ( ( ( var1 == S64 && var3 == M9 && var81 == BVM5 && var94 == EU93 && var95 == CRIT1870CC && var96 == CRIT095CV && var99 == JC5 && var100 == CRIT784 && var101 == F8Q ) || ( var1 == S64 && var3 == M9 && var81 == BVM5 && var94 == EU93 && var95 == CRIT1870CC && var96 == CRIT095CV && var99 == JC5 && var100 == CRIT786 && var101 == F8Q ) || ( var1 == S64 && var3 == MA && var81 == BVM5 && var94 == EU96 && var95 == CRIT1870CC && var96 == CRIT065CV && var99 == JB1 && var100 == CRIT620 && var101 == F8Q ) || ( var1 == S64 && var3 == MA && var81 == BVM5 && var94 == EU96 && var95 == CRIT1870CC && var96 == CRIT065CV && var99 == JB1 && var100 == CRIT624 && var101 == F8Q ) || ( var1 == S64 && var3 == MJ && var81 == BVM5 && var94 == EU96 && var95 == CRIT1870CC && var96 == CRIT070CV && var99 == JB1 && var100 == CRIT736 && var101 == F8Q ) || ( var1 == S64 && var3 == MK && var81 == BVM5 && var94 == EU96 && var95 == CRIT1870CC && var96 == CRIT090CV && var99 == JC5 && var100 == CRIT784 && var101 == F8Q ) || ( var1 == S64 && var3 == MK && var81 == BVM5 && var94 == EU96 && var95 == CRIT1870CC && var96 == CRIT090CV && var99 == JC5 && var100 == CRIT786 && var101 == F8Q ) || ( var1 == S64 && var3 == MU && var81 == BVM5 && var94 == EU93 && var95 == CRIT1870CC && var96 == CRIT065CV && var99 == JB1 && var100 == CRIT620 && var101 == F8Q ) || ( var1 == S64 && var3 == MU && var81 == BVM5 && var94 == EU93 && var95 == CRIT1870CC && var96 == CRIT065CV && var99 == JB1 && var100 == CRIT624 && var101 == F8Q ) || ( var1 == S64 && var3 == MY && var81 == BVM5 && var94 == EU96 && var95 == CRIT1870CC && var96 == CRIT095CV && var99 == JC5 && var100 == CRIT784 && var101 == F8Q ) || ( var1 == S64 && var3 == MY && var81 == BVM5 && var94 == EU96 && var95 == CRIT1870CC && var96 == CRIT095CV && var99 == JC5 && var100 == CRIT786 && var101 == F8Q ) || ( var1 == L64 && var3 == M5 && var81 == BVM5 && var94 == EU00 && var95 == CRIT1870CC && var96 == CRIT105CV && var99 == JC5 && var100 == CRIT732 && var101 == F9Q ) || ( var1 == L64 && var3 == M5 && var81 == BVA4 && var94 == EU00 && var95 == CRIT1870CC && var96 == CRIT105CV && var99 == DP0 && var100 == CRIT733 && var101 == F9Q ) || ( var1 == L64 && var3 == M6 && var81 == BVM5 && var94 == EU93 && var95 == CRIT1870CC && var96 == CRIT070CV && var99 == JB3 && var100 == CRIT736 && var101 == F8Q ) || ( var1 == L64 && var3 == M7 && var81 == BVM5 && var94 == CRIT1503 && var95 == CRIT1998CC && var96 == CRIT115CV && var99 == JB3 && var100 == CRIT750 && var101 == F3R ) || ( var1 == L64 && var3 == M7 && var81 == BVM5 && var94 == CRIT1503 && var95 == CRIT1998CC && var96 == CRIT115CV && var99 == JB3 && var100 == CRIT796 && var101 == F3R ) || ( var1 == L64 && var3 == M8 && var81 == BVM5 && var94 == EU96 && var95 == CRIT1870CC && var96 == CRIT095CV && var99 == JC5 && var100 == CRIT730 && var101 == F9Q ) || ( var1 == L64 && var3 == M8 && var81 == BVM5 && var94 == EU96 && var95 == CRIT1870CC && var96 == CRIT095CV && var99 == JC5 && var100 == CRIT734 && var101 == F9Q ) || ( var1 == L64 && var3 == MA && var81 == BVM5 && var94 == EU96 && var95 == CRIT1870CC && var96 == CRIT065CV && var99 == JB1 && var100 == CRIT624 && var101 == F8Q ) || ( var1 == L64 && var3 == MA && var81 == BVM5 && var94 == EU96 && var95 == CRIT1870CC && var96 == CRIT065CV && var99 == JB3 && var100 == CRIT620 && var101 == F8Q ) || ( var1 == L64 && var3 == MA && var81 == BVM5 && var94 == EU96 && var95 == CRIT1870CC && var96 == CRIT065CV && var99 == JB3 && var100 == CRIT624 && var101 == F8Q ) || ( var1 == L64 && var3 == MB && var81 == BVM5 && var94 == EU00 && var95 == CRIT1598CC && var96 == CRIT115CV && var99 == JB3 && var100 == CRIT700 && var101 == K4M ) || ( var1 == L64 && var3 == MB && var81 == BVA4 && var94 == EU00 && var95 == CRIT1598CC && var96 == CRIT115CV && var99 == DP0 && var100 == CRIT701 && var101 == K4M ) || ( var1 == L64 && var3 == MD && var81 == BVM5 && var94 == EU00 && var95 == CRIT1390CC && var96 == CRIT090CV && var99 == JB1 && var100 == CRIT750 && var101 == K4J ) || ( var1 == L64 && var3 == ME && var81 == BVM5 && var94 == EU96 && var95 == CRIT1390CC && var96 == CRIT075CV && var99 == JB1 && var100 == CRIT764 && var101 == E7J ) || ( var1 == L64 && var3 == MF && var81 == BVM5 && var94 == EU96 && var95 == CRIT1598CC && var96 == CRIT090CV && var99 == JB1 && var100 == CRIT702 && var101 == K7M ) || ( var1 == L64 && var3 == MF && var81 == BVM5 && var94 == EU96 && var95 == CRIT1598CC && var96 == CRIT090CV && var99 == JB3 && var100 == CRIT702 && var101 == K7M ) || ( var1 == L64 && var3 == MF && var81 == BVA4 && var94 == EU96 && var95 == CRIT1598CC && var96 == CRIT090CV && var99 == AD4 && var100 == CRIT703 && var101 == K7M ) || ( var1 == L64 && var3 == MJ && var81 == BVM5 && var94 == EU96 && var95 == CRIT1870CC && var96 == CRIT070CV && var99 == JB1 && var100 == CRIT736 && var101 == F8Q ) || ( var1 == L64 && var3 == MJ && var81 == BVM5 && var94 == EU96 && var95 == CRIT1870CC && var96 == CRIT070CV && var99 == JB3 && var100 == CRIT736 && var101 == F8Q ) || ( var1 == L64 && var3 == MK && var81 == BVM5 && var94 == EU96 && var95 == CRIT1870CC && var96 == CRIT090CV && var99 == JC5 && var100 == CRIT784 && var101 == F8Q ) || ( var1 == L64 && var3 == MK && var81 == BVM5 && var94 == EU96 && var95 == CRIT1870CC && var96 == CRIT090CV && var99 == JC5 && var100 == CRIT786 && var101 == F8Q ) || ( var1 == L64 && var3 == ML && var81 == BVM5 && var94 == EU96 && var95 == CRIT1598CC && var96 == CRIT075CV && var99 == JB1 && var100 == CRIT720 && var101 == K7M ) || ( var1 == L64 && var3 == MM && var81 == BVM5 && var94 == EU00 && var95 == CRIT1390CC && var96 == CRIT075CV && var99 == JB1 && var100 == CRIT622 && var101 == E7J ) || ( var1 == L64 && var3 == MS && var81 == BVM5 && var94 == CRIT1503 && var95 == CRIT1598CC && var96 == CRIT090CV && var99 == JB3 && var100 == CRIT702 && var101 == K7M ) || ( var1 == L64 && var3 == MS && var81 == BVM5 && var94 == CRIT1503 && var95 == CRIT1598CC && var96 == CRIT090CV && var99 == JB3 && var100 == CRIT790 && var101 == K7M ) || ( var1 == L64 && var3 == MU && var81 == BVM5 && var94 == EU93 && var95 == CRIT1870CC && var96 == CRIT065CV && var99 == JB3 && var100 == CRIT620 && var101 == F8Q ) || ( var1 == L64 && var3 == MU && var81 == BVM5 && var94 == EU93 && var95 == CRIT1870CC && var96 == CRIT065CV && var99 == JB3 && var100 == CRIT624 && var101 == F8Q ) || ( var1 == L64 && var3 == MN && var81 == BVM5 && var94 == EU96 && var95 == CRIT1870CC && var96 == CRIT100CV && var99 == JC5 && var100 == CRIT734 && var101 == F9Q ) || ( var1 == L64 && var3 == MN && var81 == BVA4 && var94 == EU96 && var95 == CRIT1870CC && var96 == CRIT100CV && var99 == DP0 && var100 == CRIT731 && var101 == F9Q ) || ( var1 == L64 && var3 == MG && var81 == BVM5 && var94 == EU96 && var95 == CRIT1998CC && var96 == CRIT115CV && var99 == JB3 && var100 == CRIT750 && var101 == F3R ) || ( var1 == L64 && var3 == MG && var81 == BVM5 && var94 == EU96 && var95 == CRIT1998CC && var96 == CRIT115CV && var99 == JB3 && var100 == CRIT752 && var101 == F3R ) || ( var1 == L64 && var3 == MG && var81 == BVM5 && var94 == EU96 && var95 == CRIT1998CC && var96 == CRIT115CV && var99 == JB3 && var100 == CRIT796 && var101 == F3R ) || ( var1 == L64 && var3 == MG && var81 == BVM5 && var94 == EU96 && var95 == CRIT1998CC && var96 == CRIT115CV && var99 == JB3 && var100 == CRIT798 && var101 == F3R ) || ( var1 == L64 && var3 == MG && var81 == BVA4 && var94 == EU96 && var95 == CRIT1998CC && var96 == CRIT115CV && var99 == AD4 && var100 == CRIT797 && var101 == F3R ) || ( var1 == L64 && var3 == MG && var81 == BVA4 && var94 == EU96 && var95 == CRIT1998CC && var96 == CRIT115CV && var99 == DP0 && var100 == CRIT791 && var101 == F3R ) || ( var1 == L64 && var3 == MY && var81 == BVM5 && var94 == EU96 && var95 == CRIT1870CC && var96 == CRIT095CV && var99 == JC5 && var100 == CRIT784 && var101 == F8Q ) || ( var1 == L64 && var3 == MY && var81 == BVM5 && var94 == EU96 && var95 == CRIT1870CC && var96 == CRIT095CV && var99 == JC5 && var100 == CRIT786 && var101 == F8Q ) || ( var1 == L64 && var3 == NM2K && var81 == BVM5 && var94 == EU00 && var95 == CRIT1598CC && var96 == CRIT115CV && var99 == JB3 && var100 == CRIT702 && var101 == K4M ) || ( var1 == L64 && var3 == ND1G && var81 == BVM5 && var94 == EU00 && var95 == CRIT1870CC && var96 == CRIT070CV && var99 == JB1 && var100 == CRIT622 && var101 == F8Q ) || ( var1 == E64 && var3 == MB && var81 == BVM5 && var94 == EU00 && var95 == CRIT1598CC && var96 == CRIT115CV && var99 == JB3 && var100 == CRIT700 && var101 == K4M ) || ( var1 == E64 && var3 == MB && var81 == BVA4 && var94 == EU00 && var95 == CRIT1598CC && var96 == CRIT115CV && var99 == DP0 && var100 == CRIT701 && var101 == K4M ) || ( var1 == E64 && var3 == MC && var81 == BVM5 && var94 == EU00 && var95 == CRIT1998CC && var96 == CRIT150CV && var99 == JC5 && var100 == CRIT740 && var101 == F4R ) || ( var1 == E64 && var3 == MF && var81 == BVM5 && var94 == EU96 && var95 == CRIT1598CC && var96 == CRIT090CV && var99 == JB1 && var100 == CRIT702 && var101 == K7M ) || ( var1 == E64 && var3 == MH && var81 == BVM5 && var94 == EU96 && var95 == CRIT1998CC && var96 == CRIT150CV && var99 == JC5 && var100 == CRIT710 && var101 == F7R ) || ( var1 == E64 && var3 == MH && var81 == BVM5 && var94 == EU96 && var95 == CRIT1998CC && var96 == CRIT150CV && var99 == JC5 && var100 == CRIT714 && var101 == F7R ) || ( var1 == E64 && var3 == MG && var81 == BVM5 && var94 == EU96 && var95 == CRIT1998CC && var96 == CRIT115CV && var99 == JB3 && var100 == CRIT750 && var101 == F3R ) || ( var1 == E64 && var3 == MG && var81 == BVM5 && var94 == EU96 && var95 == CRIT1998CC && var96 == CRIT115CV && var99 == JB3 && var100 == CRIT796 && var101 == F3R ) || ( var1 == E64 && var3 == MG && var81 == BVA4 && var94 == EU96 && var95 == CRIT1998CC && var96 == CRIT115CV && var99 == DP0 && var100 == CRIT791 && var101 == F3R ) || ( var1 == E64 && var3 == NM2K && var81 == BVM5 && var94 == EU00 && var95 == CRIT1598CC && var96 == CRIT115CV && var99 == JB3 && var100 == CRIT702 && var101 == K4M ) || ( var1 == D64 && var3 == MB && var81 == BVM5 && var94 == EU00 && var95 == CRIT1598CC && var96 == CRIT115CV && var99 == JB3 && var100 == CRIT700 && var101 == K4M ) || ( var1 == D64 && var3 == MB && var81 == BVA4 && var94 == EU00 && var95 == CRIT1598CC && var96 == CRIT115CV && var99 == DP0 && var100 == CRIT701 && var101 == K4M ) || ( var1 == D64 && var3 == MC && var81 == BVM5 && var94 == EU00 && var95 == CRIT1998CC && var96 == CRIT150CV && var99 == JC5 && var100 == CRIT740 && var101 == F4R ) || ( var1 == D64 && var3 == MD && var81 == BVM5 && var94 == EU00 && var95 == CRIT1390CC && var96 == CRIT090CV && var99 == JB1 && var100 == CRIT750 && var101 == K4J ) || ( var1 == D64 && var3 == MF && var81 == BVM5 && var94 == EU96 && var95 == CRIT1598CC && var96 == CRIT090CV && var99 == JB1 && var100 == CRIT702 && var101 == K7M ) || ( var1 == D64 && var3 == MF && var81 == BVA4 && var94 == EU96 && var95 == CRIT1598CC && var96 == CRIT090CV && var99 == AD4 && var100 == CRIT703 && var101 == K7M ) || ( var1 == D64 && var3 == MN && var81 == BVM5 && var94 == EU96 && var95 == CRIT1870CC && var96 == CRIT100CV && var99 == JC5 && var100 == CRIT734 && var101 == F9Q ) || ( var1 == D64 && var3 == MN && var81 == BVA4 && var94 == EU96 && var95 == CRIT1870CC && var96 == CRIT100CV && var99 == DP0 && var100 == CRIT731 && var101 == F9Q ) || ( var1 == D64 && var3 == MH && var81 == BVM5 && var94 == EU96 && var95 == CRIT1998CC && var96 == CRIT150CV && var99 == JC5 && var100 == CRIT710 && var101 == F7R ) || ( var1 == D64 && var3 == MH && var81 == BVM5 && var94 == EU96 && var95 == CRIT1998CC && var96 == CRIT150CV && var99 == JC5 && var100 == CRIT714 && var101 == F7R ) || ( var1 == D64 && var3 == MG && var81 == BVM5 && var94 == EU96 && var95 == CRIT1998CC && var96 == CRIT115CV && var99 == JB3 && var100 == CRIT750 && var101 == F3R ) || ( var1 == D64 && var3 == MG && var81 == BVM5 && var94 == EU96 && var95 == CRIT1998CC && var96 == CRIT115CV && var99 == JB3 && var100 == CRIT752 && var101 == F3R ) || ( var1 == D64 && var3 == MG && var81 == BVM5 && var94 == EU96 && var95 == CRIT1998CC && var96 == CRIT115CV && var99 == JB3 && var100 == CRIT796 && var101 == F3R ) || ( var1 == D64 && var3 == MG && var81 == BVM5 && var94 == EU96 && var95 == CRIT1998CC && var96 == CRIT115CV && var99 == JB3 && var100 == CRIT798 && var101 == F3R ) || ( var1 == D64 && var3 == MG && var81 == BVA4 && var94 == EU96 && var95 == CRIT1998CC && var96 == CRIT115CV && var99 == AD4 && var100 == CRIT751 && var101 == F3R ) || ( var1 == D64 && var3 == MG && var81 == BVA4 && var94 == EU96 && var95 == CRIT1998CC && var96 == CRIT115CV && var99 == AD4 && var100 == CRIT797 && var101 == F3R ) || ( var1 == D64 && var3 == MG && var81 == BVA4 && var94 == EU96 && var95 == CRIT1998CC && var96 == CRIT115CV && var99 == DP0 && var100 == CRIT791 && var101 == F3R ) || ( var1 == D64 && var3 == NM2K && var81 == BVM5 && var94 == EU00 && var95 == CRIT1598CC && var96 == CRIT115CV && var99 == JB3 && var100 == CRIT702 && var101 == K4M ) || ( var1 == J64 && var3 == M5 && var81 == BVM5 && var94 == EU00 && var95 == CRIT1870CC && var96 == CRIT105CV && var99 == JC5 && var100 == CRIT732 && var101 == F9Q ) || ( var1 == J64 && var3 == M5 && var81 == BVA4 && var94 == EU00 && var95 == CRIT1870CC && var96 == CRIT105CV && var99 == DP0 && var100 == CRIT733 && var101 == F9Q ) || ( var1 == J64 && var3 == MB && var81 == BVM5 && var94 == EU00 && var95 == CRIT1598CC && var96 == CRIT115CV && var99 == JB3 && var100 == CRIT700 && var101 == K4M ) || ( var1 == J64 && var3 == MB && var81 == BVA4 && var94 == EU00 && var95 == CRIT1598CC && var96 == CRIT115CV && var99 == DP0 && var100 == CRIT701 && var101 == K4M ) || ( var1 == J64 && var3 == MD && var81 == BVM5 && var94 == EU00 && var95 == CRIT1390CC && var96 == CRIT090CV && var99 == JB1 && var100 == CRIT750 && var101 == K4J ) || ( var1 == J64 && var3 == ME && var81 == BVM5 && var94 == EU96 && var95 == CRIT1390CC && var96 == CRIT075CV && var99 == JB1 && var100 == CRIT764 && var101 == E7J ) || ( var1 == J64 && var3 == MF && var81 == BVM5 && var94 == EU96 && var95 == CRIT1598CC && var96 == CRIT090CV && var99 == JB3 && var100 == CRIT702 && var101 == K7M ) || ( var1 == J64 && var3 == MF && var81 == BVA4 && var94 == EU96 && var95 == CRIT1598CC && var96 == CRIT090CV && var99 == AD4 && var100 == CRIT703 && var101 == K7M ) || ( var1 == J64 && var3 == MJ && var81 == BVM5 && var94 == EU96 && var95 == CRIT1870CC && var96 == CRIT070CV && var99 == JB3 && var100 == CRIT788 && var101 == F8Q ) || ( var1 == J64 && var3 == MK && var81 == BVM5 && var94 == EU96 && var95 == CRIT1870CC && var96 == CRIT090CV && var99 == JC5 && var100 == CRIT784 && var101 == F8Q ) || ( var1 == J64 && var3 == MK && var81 == BVM5 && var94 == EU96 && var95 == CRIT1870CC && var96 == CRIT090CV && var99 == JC5 && var100 == CRIT786 && var101 == F8Q ) || ( var1 == J64 && var3 == ML && var81 == BVM5 && var94 == EU96 && var95 == CRIT1598CC && var96 == CRIT075CV && var99 == JB3 && var100 == CRIT720 && var101 == K7M ) || ( var1 == J64 && var3 == MM && var81 == BVM5 && var94 == EU00 && var95 == CRIT1390CC && var96 == CRIT075CV && var99 == JB1 && var100 == CRIT622 && var101 == E7J ) || ( var1 == J64 && var3 == MN && var81 == BVM5 && var94 == EU96 && var95 == CRIT1870CC && var96 == CRIT100CV && var99 == JC5 && var100 == CRIT734 && var101 == F9Q ) || ( var1 == J64 && var3 == MN && var81 == BVA4 && var94 == EU96 && var95 == CRIT1870CC && var96 == CRIT100CV && var99 == DP0 && var100 == CRIT731 && var101 == F9Q ) || ( var1 == J64 && var3 == MG && var81 == BVM5 && var94 == EU96 && var95 == CRIT1998CC && var96 == CRIT115CV && var99 == JC5 && var100 == CRIT750 && var101 == F3R ) || ( var1 == J64 && var3 == MG && var81 == BVM5 && var94 == EU96 && var95 == CRIT1998CC && var96 == CRIT115CV && var99 == JC5 && var100 == CRIT796 && var101 == F3R ) || ( var1 == J64 && var3 == MG && var81 == BVA4 && var94 == EU96 && var95 == CRIT1998CC && var96 == CRIT115CV && var99 == AD4 && var100 == CRIT751 && var101 == F3R ) || ( var1 == J64 && var3 == MG && var81 == BVA4 && var94 == EU96 && var95 == CRIT1998CC && var96 == CRIT115CV && var99 == AD4 && var100 == CRIT797 && var101 == F3R ) || ( var1 == J64 && var3 == MG && var81 == BVA4 && var94 == EU96 && var95 == CRIT1998CC && var96 == CRIT115CV && var99 == DP0 && var100 == CRIT791 && var101 == F3R ) || ( var1 == J64 && var3 == MY && var81 == BVM5 && var94 == EU96 && var95 == CRIT1870CC && var96 == CRIT095CV && var99 == JC5 && var100 == CRIT784 && var101 == F8Q ) || ( var1 == J64 && var3 == MY && var81 == BVM5 && var94 == EU96 && var95 == CRIT1870CC && var96 == CRIT095CV && var99 == JC5 && var100 == CRIT786 && var101 == F8Q ) || ( var1 == J64 && var3 == NM2K && var81 == BVM5 && var94 == EU00 && var95 == CRIT1598CC && var96 == CRIT115CV && var99 == JB3 && var100 == CRIT702 && var101 == K4M ) || ( var1 == B64 && var3 == M5 && var81 == BVM5 && var94 == EU00 && var95 == CRIT1870CC && var96 == CRIT105CV && var99 == JC5 && var100 == CRIT732 && var101 == F9Q ) || ( var1 == B64 && var3 == M5 && var81 == BVA4 && var94 == EU00 && var95 == CRIT1870CC && var96 == CRIT105CV && var99 == DP0 && var100 == CRIT733 && var101 == F9Q ) || ( var1 == B64 && var3 == M6 && var81 == BVM5 && var94 == EU93 && var95 == CRIT1870CC && var96 == CRIT070CV && var99 == JB3 && var100 == CRIT736 && var101 == F8Q ) || ( var1 == B64 && var3 == M7 && var81 == BVM5 && var94 == CRIT1503 && var95 == CRIT1998CC && var96 == CRIT115CV && var99 == JB3 && var100 == CRIT750 && var101 == F3R ) || ( var1 == B64 && var3 == M7 && var81 == BVM5 && var94 == CRIT1503 && var95 == CRIT1998CC && var96 == CRIT115CV && var99 == JB3 && var100 == CRIT796 && var101 == F3R ) || ( var1 == B64 && var3 == M8 && var81 == BVM5 && var94 == EU96 && var95 == CRIT1870CC && var96 == CRIT095CV && var99 == JC5 && var100 == CRIT730 && var101 == F9Q ) || ( var1 == B64 && var3 == M8 && var81 == BVM5 && var94 == EU96 && var95 == CRIT1870CC && var96 == CRIT095CV && var99 == JC5 && var100 == CRIT734 && var101 == F9Q ) || ( var1 == B64 && var3 == M9 && var81 == BVM5 && var94 == EU93 && var95 == CRIT1870CC && var96 == CRIT095CV && var99 == JC5 && var100 == CRIT784 && var101 == F8Q ) || ( var1 == B64 && var3 == M9 && var81 == BVM5 && var94 == EU93 && var95 == CRIT1870CC && var96 == CRIT095CV && var99 == JC5 && var100 == CRIT786 && var101 == F8Q ) || ( var1 == B64 && var3 == MA && var81 == BVM5 && var94 == EU96 && var95 == CRIT1870CC && var96 == CRIT065CV && var99 == JB1 && var100 == CRIT620 && var101 == F8Q ) || ( var1 == B64 && var3 == MA && var81 == BVM5 && var94 == EU96 && var95 == CRIT1870CC && var96 == CRIT065CV && var99 == JB1 && var100 == CRIT624 && var101 == F8Q ) || ( var1 == B64 && var3 == MA && var81 == BVM5 && var94 == EU96 && var95 == CRIT1870CC && var96 == CRIT065CV && var99 == JB3 && var100 == CRIT620 && var101 == F8Q ) || ( var1 == B64 && var3 == MA && var81 == BVM5 && var94 == EU96 && var95 == CRIT1870CC && var96 == CRIT065CV && var99 == JB3 && var100 == CRIT624 && var101 == F8Q ) || ( var1 == B64 && var3 == MB && var81 == BVM5 && var94 == EU00 && var95 == CRIT1598CC && var96 == CRIT115CV && var99 == JB3 && var100 == CRIT700 && var101 == K4M ) || ( var1 == B64 && var3 == MB && var81 == BVA4 && var94 == EU00 && var95 == CRIT1598CC && var96 == CRIT115CV && var99 == DP0 && var100 == CRIT701 && var101 == K4M ) || ( var1 == B64 && var3 == MC && var81 == BVM5 && var94 == EU00 && var95 == CRIT1998CC && var96 == CRIT150CV && var99 == JC5 && var100 == CRIT740 && var101 == F4R ) || ( var1 == B64 && var3 == MD && var81 == BVM5 && var94 == EU00 && var95 == CRIT1390CC && var96 == CRIT090CV && var99 == JB1 && var100 == CRIT750 && var101 == K4J ) || ( var1 == B64 && var3 == ME && var81 == BVM5 && var94 == EU96 && var95 == CRIT1390CC && var96 == CRIT075CV && var99 == JB1 && var100 == CRIT764 && var101 == E7J ) || ( var1 == B64 && var3 == MF && var81 == BVM5 && var94 == EU96 && var95 == CRIT1598CC && var96 == CRIT090CV && var99 == JB1 && var100 == CRIT702 && var101 == K7M ) || ( var1 == B64 && var3 == MF && var81 == BVM5 && var94 == EU96 && var95 == CRIT1598CC && var96 == CRIT090CV && var99 == JB3 && var100 == CRIT702 && var101 == K7M ) || ( var1 == B64 && var3 == MF && var81 == BVA4 && var94 == EU96 && var95 == CRIT1598CC && var96 == CRIT090CV && var99 == AD4 && var100 == CRIT703 && var101 == K7M ) || ( var1 == B64 && var3 == MJ && var81 == BVM5 && var94 == EU96 && var95 == CRIT1870CC && var96 == CRIT070CV && var99 == JB1 && var100 == CRIT736 && var101 == F8Q ) || ( var1 == B64 && var3 == MJ && var81 == BVM5 && var94 == EU96 && var95 == CRIT1870CC && var96 == CRIT070CV && var99 == JB3 && var100 == CRIT736 && var101 == F8Q ) || ( var1 == B64 && var3 == MK && var81 == BVM5 && var94 == EU96 && var95 == CRIT1870CC && var96 == CRIT090CV && var99 == JC5 && var100 == CRIT784 && var101 == F8Q ) || ( var1 == B64 && var3 == MK && var81 == BVM5 && var94 == EU96 && var95 == CRIT1870CC && var96 == CRIT090CV && var99 == JC5 && var100 == CRIT786 && var101 == F8Q ) || ( var1 == B64 && var3 == ML && var81 == BVM5 && var94 == EU96 && var95 == CRIT1598CC && var96 == CRIT075CV && var99 == JB1 && var100 == CRIT720 && var101 == K7M ) || ( var1 == B64 && var3 == MM && var81 == BVM5 && var94 == EU00 && var95 == CRIT1390CC && var96 == CRIT075CV && var99 == JB1 && var100 == CRIT622 && var101 == E7J ) || ( var1 == B64 && var3 == MS && var81 == BVM5 && var94 == CRIT1503 && var95 == CRIT1598CC && var96 == CRIT090CV && var99 == JB3 && var100 == CRIT702 && var101 == K7M ) || ( var1 == B64 && var3 == MS && var81 == BVM5 && var94 == CRIT1503 && var95 == CRIT1598CC && var96 == CRIT090CV && var99 == JB3 && var100 == CRIT790 && var101 == K7M ) || ( var1 == B64 && var3 == MT && var81 == BVM5 && var94 == EU96 && var95 == CRIT1390CC && var96 == CRIT070CV && var99 == JB1 && var100 == CRIT624 && var101 == E7J ) || ( var1 == B64 && var3 == MU && var81 == BVM5 && var94 == EU93 && var95 == CRIT1870CC && var96 == CRIT065CV && var99 == JB1 && var100 == CRIT620 && var101 == F8Q ) || ( var1 == B64 && var3 == MU && var81 == BVM5 && var94 == EU93 && var95 == CRIT1870CC && var96 == CRIT065CV && var99 == JB1 && var100 == CRIT624 && var101 == F8Q ) || ( var1 == B64 && var3 == MU && var81 == BVM5 && var94 == EU93 && var95 == CRIT1870CC && var96 == CRIT065CV && var99 == JB3 && var100 == CRIT620 && var101 == F8Q ) || ( var1 == B64 && var3 == MU && var81 == BVM5 && var94 == EU93 && var95 == CRIT1870CC && var96 == CRIT065CV && var99 == JB3 && var100 == CRIT624 && var101 == F8Q ) || ( var1 == B64 && var3 == MN && var81 == BVM5 && var94 == EU96 && var95 == CRIT1870CC && var96 == CRIT100CV && var99 == JC5 && var100 == CRIT734 && var101 == F9Q ) || ( var1 == B64 && var3 == MN && var81 == BVA4 && var94 == EU96 && var95 == CRIT1870CC && var96 == CRIT100CV && var99 == DP0 && var100 == CRIT731 && var101 == F9Q ) || ( var1 == B64 && var3 == MH && var81 == BVM5 && var94 == EU96 && var95 == CRIT1998CC && var96 == CRIT150CV && var99 == JC5 && var100 == CRIT710 && var101 == F7R ) || ( var1 == B64 && var3 == MH && var81 == BVM5 && var94 == EU96 && var95 == CRIT1998CC && var96 == CRIT150CV && var99 == JC5 && var100 == CRIT714 && var101 == F7R ) || ( var1 == B64 && var3 == MG && var81 == BVM5 && var94 == EU96 && var95 == CRIT1998CC && var96 == CRIT115CV && var99 == JB3 && var100 == CRIT750 && var101 == F3R ) || ( var1 == B64 && var3 == MG && var81 == BVM5 && var94 == EU96 && var95 == CRIT1998CC && var96 == CRIT115CV && var99 == JB3 && var100 == CRIT752 && var101 == F3R ) || ( var1 == B64 && var3 == MG && var81 == BVM5 && var94 == EU96 && var95 == CRIT1998CC && var96 == CRIT115CV && var99 == JB3 && var100 == CRIT796 && var101 == F3R ) || ( var1 == B64 && var3 == MG && var81 == BVM5 && var94 == EU96 && var95 == CRIT1998CC && var96 == CRIT115CV && var99 == JB3 && var100 == CRIT798 && var101 == F3R ) || ( var1 == B64 && var3 == MG && var81 == BVA4 && var94 == EU96 && var95 == CRIT1998CC && var96 == CRIT115CV && var99 == AD4 && var100 == CRIT751 && var101 == F3R ) || ( var1 == B64 && var3 == MG && var81 == BVA4 && var94 == EU96 && var95 == CRIT1998CC && var96 == CRIT115CV && var99 == AD4 && var100 == CRIT797 && var101 == F3R ) || ( var1 == B64 && var3 == MG && var81 == BVA4 && var94 == EU96 && var95 == CRIT1998CC && var96 == CRIT115CV && var99 == DP0 && var100 == CRIT791 && var101 == F3R ) || ( var1 == B64 && var3 == MY && var81 == BVM5 && var94 == EU96 && var95 == CRIT1870CC && var96 == CRIT095CV && var99 == JC5 && var100 == CRIT784 && var101 == F8Q ) || ( var1 == B64 && var3 == MY && var81 == BVM5 && var94 == EU96 && var95 == CRIT1870CC && var96 == CRIT095CV && var99 == JC5 && var100 == CRIT786 && var101 == F8Q ) || ( var1 == B64 && var3 == NM2K && var81 == BVM5 && var94 == EU00 && var95 == CRIT1598CC && var96 == CRIT115CV && var99 == JB3 && var100 == CRIT702 && var101 == K4M ) || ( var1 == B64 && var3 == NM0C && var81 == BVM5 && var94 == EU00 && var95 == CRIT1149CC && var96 == CRIT060CV && var99 == JB1 && var100 == CRIT750 && var101 == D7F ) || ( var1 == B64 && var3 == ND1G && var81 == BVM5 && var94 == EU00 && var95 == CRIT1870CC && var96 == CRIT070CV && var99 == JB1 && var100 == CRIT622 && var101 == F8Q ) || ( var1 == F64 && var3 == MJ && var81 == BVM5 && var94 == EU96 && var95 == CRIT1870CC && var96 == CRIT070CV && var99 == JB3 && var100 == CRIT788 && var101 == F8Q ) || ( var1 == F64 && var3 == MK && var81 == BVM5 && var94 == EU96 && var95 == CRIT1870CC && var96 == CRIT090CV && var99 == JC5 && var100 == CRIT784 && var101 == F8Q ) || ( var1 == F64 && var3 == MK && var81 == BVM5 && var94 == EU96 && var95 == CRIT1870CC && var96 == CRIT090CV && var99 == JC5 && var100 == CRIT786 && var101 == F8Q ) || ( var1 == K25 && var3 == M6 && var81 == BVM5 && var94 == EU93 && var95 == CRIT1870CC && var96 == CRIT070CV && var99 == JB1 && var100 == CRIT788 && var101 == F8Q ) || ( var1 == K25 && var3 == M6 && var81 == BVM5 && var94 == EU93 && var95 == CRIT1870CC && var96 == CRIT070CV && var99 == JB3 && var100 == CRIT788 && var101 == F8Q ) || ( var1 == K25 && var3 == MD && var81 == BVM5 && var94 == EU00 && var95 == CRIT1390CC && var96 == CRIT090CV && var99 == JB1 && var100 == CRIT750 && var101 == K4J ) || ( var1 == K25 && var3 == ME && var81 == BVM5 && var94 == EU96 && var95 == CRIT1390CC && var96 == CRIT075CV && var99 == JB1 && var100 == CRIT764 && var101 == E7J ) || ( var1 == K25 && var3 == MF && var81 == BVM5 && var94 == EU96 && var95 == CRIT1598CC && var96 == CRIT090CV && var99 == JB1 && var100 == CRIT702 && var101 == K7M ) || ( var1 == K25 && var3 == MF && var81 == BVM5 && var94 == EU96 && var95 == CRIT1598CC && var96 == CRIT090CV && var99 == JB3 && var100 == CRIT702 && var101 == K7M ) || ( var1 == K25 && var3 == MJ && var81 == BVM5 && var94 == EU96 && var95 == CRIT1870CC && var96 == CRIT070CV && var99 == JB1 && var100 == CRIT788 && var101 == F8Q ) || ( var1 == K25 && var3 == MJ && var81 == BVM5 && var94 == EU96 && var95 == CRIT1870CC && var96 == CRIT070CV && var99 == JB3 && var100 == CRIT788 && var101 == F8Q ) || ( var1 == K25 && var3 == ML && var81 == BVM5 && var94 == EU96 && var95 == CRIT1598CC && var96 == CRIT075CV && var99 == JB1 && var100 == CRIT720 && var101 == K7M ) || ( var1 == V25 && var3 == MJ && var81 == BVM5 && var94 == EU96 && var95 == CRIT1870CC && var96 == CRIT070CV && var99 == JB1 && var100 == CRIT788 && var101 == F8Q ) ) ); ( ( ( var3 == M5 && var5 == FRAN && var6 == DG ) || ( var3 == M5 && var5 == DOTO && var6 == DG ) || ( var3 == M5 && var5 == ALLE && var6 == DG ) || ( var3 == M5 && var5 == AUTR && var6 == DG ) || ( var3 == M5 && var5 == BELG && var6 == DG ) || ( var3 == M5 && var5 == DANE && var6 == DG ) || ( var3 == M5 && var5 == ESPA && var6 == DG ) || ( var3 == M5 && var5 == FINL && var6 == DG ) || ( var3 == M5 && var5 == GRBR && var6 == DD ) || ( var3 == M5 && var5 == GREC && var6 == DG ) || ( var3 == M5 && var5 == HOLL && var6 == DG ) || ( var3 == M5 && var5 == IRLA && var6 == DD ) || ( var3 == M5 && var5 == ISLA && var6 == DG ) || ( var3 == M5 && var5 == ITAL && var6 == DG ) || ( var3 == M5 && var5 == NORV && var6 == DG ) || ( var3 == M5 && var5 == PORT && var6 == DG ) || ( var3 == M5 && var5 == SUED && var6 == DG ) || ( var3 == M5 && var5 == SUIS && var6 == DG ) || ( var3 == M5 && var5 == YOUG && var6 == DG ) || ( var3 == M5 && var5 == MAGH && var6 == DG ) || ( var3 == M5 && var5 == TURQ && var6 == DG ) || ( var3 == M5 && var5 == ARGE && var6 == DG ) || ( var3 == M5 && var5 == BRES && var6 == DG ) || ( var3 == M5 && var5 == CHIL && var6 == DG ) || ( var3 == M5 && var5 == COLO && var6 == DG ) || ( var3 == M5 && var5 == AFSU && var6 == DD ) || ( var3 == M5 && var5 == MARO && var6 == DG ) || ( var3 == M5 && var5 == ISRA && var6 == DG ) || ( var3 == M5 && var5 == HONG && var6 == DG ) || ( var3 == M5 && var5 == TCHE && var6 == DG ) || ( var3 == M5 && var5 == POLO && var6 == DG ) || ( var3 == M5 && var5 == SLVQ && var6 == DG ) || ( var3 == M5 && var5 == JAPO && var6 == DD ) || ( var3 == M5 && var5 == TAIW && var6 == DG ) || ( var3 == M5 && var5 == AUST && var6 == DD ) || ( var3 == M5 && var5 == URUG && var6 == DG ) || ( var3 == M5 && var5 == DAIB && var6 == DG ) || ( var3 == M5 && var5 == DAIC && var6 == DG ) || ( var3 == M5 && var5 == DAID && var6 == DD ) || ( var3 == M5 && var5 == DAIF && var6 == DG ) || ( var3 == M5 && var5 == EUOR && var6 == DG ) || ( var3 == M5 && var5 == CETI && var6 == DG ) || ( var3 == M6 && var5 == FRAN && var6 == DG ) || ( var3 == M6 && var5 == DOTO && var6 == DG ) || ( var3 == M6 && var5 == ALLE && var6 == DG ) || ( var3 == M6 && var5 == AUTR && var6 == DG ) || ( var3 == M6 && var5 == BELG && var6 == DG ) || ( var3 == M6 && var5 == DANE && var6 == DG ) || ( var3 == M6 && var5 == ESPA && var6 == DG ) || ( var3 == M6 && var5 == FINL && var6 == DG ) || ( var3 == M6 && var5 == GRBR && var6 == DD ) || ( var3 == M6 && var5 == GREC && var6 == DG ) || ( var3 == M6 && var5 == HOLL && var6 == DG ) || ( var3 == M6 && var5 == IRLA && var6 == DD ) || ( var3 == M6 && var5 == ISLA && var6 == DG ) || ( var3 == M6 && var5 == ITAL && var6 == DG ) || ( var3 == M6 && var5 == NORV && var6 == DG ) || ( var3 == M6 && var5 == PORT && var6 == DG ) || ( var3 == M6 && var5 == SUED && var6 == DG ) || ( var3 == M6 && var5 == SUIS && var6 == DG ) || ( var3 == M6 && var5 == YOUG && var6 == DG ) || ( var3 == M6 && var5 == MAGH && var6 == DG ) || ( var3 == M6 && var5 == TURQ && var6 == DG ) || ( var3 == M6 && var5 == ARGE && var6 == DG ) || ( var3 == M6 && var5 == BRES && var6 == DG ) || ( var3 == M6 && var5 == CHIL && var6 == DG ) || ( var3 == M6 && var5 == COLO && var6 == DG ) || ( var3 == M6 && var5 == AFSU && var6 == DD ) || ( var3 == M6 && var5 == MARO && var6 == DG ) || ( var3 == M6 && var5 == ISRA && var6 == DG ) || ( var3 == M6 && var5 == HONG && var6 == DG ) || ( var3 == M6 && var5 == TCHE && var6 == DG ) || ( var3 == M6 && var5 == POLO && var6 == DG ) || ( var3 == M6 && var5 == SLVQ && var6 == DG ) || ( var3 == M6 && var5 == JAPO && var6 == DD ) || ( var3 == M6 && var5 == TAIW && var6 == DG ) || ( var3 == M6 && var5 == AUST && var6 == DD ) || ( var3 == M6 && var5 == URUG && var6 == DG ) || ( var3 == M6 && var5 == DAIB && var6 == DG ) || ( var3 == M6 && var5 == DAIC && var6 == DG ) || ( var3 == M6 && var5 == DAID && var6 == DD ) || ( var3 == M6 && var5 == DAIF && var6 == DG ) || ( var3 == M6 && var5 == EUOR && var6 == DG ) || ( var3 == M6 && var5 == CETI && var6 == DG ) || ( var3 == M7 && var5 == FRAN && var6 == DG ) || ( var3 == M7 && var5 == DOTO && var6 == DG ) || ( var3 == M7 && var5 == ALLE && var6 == DG ) || ( var3 == M7 && var5 == AUTR && var6 == DG ) || ( var3 == M7 && var5 == BELG && var6 == DG ) || ( var3 == M7 && var5 == DANE && var6 == DG ) || ( var3 == M7 && var5 == ESPA && var6 == DG ) || ( var3 == M7 && var5 == FINL && var6 == DG ) || ( var3 == M7 && var5 == GRBR && var6 == DD ) || ( var3 == M7 && var5 == GREC && var6 == DG ) || ( var3 == M7 && var5 == HOLL && var6 == DG ) || ( var3 == M7 && var5 == IRLA && var6 == DD ) || ( var3 == M7 && var5 == ISLA && var6 == DG ) || ( var3 == M7 && var5 == ITAL && var6 == DG ) || ( var3 == M7 && var5 == NORV && var6 == DG ) || ( var3 == M7 && var5 == PORT && var6 == DG ) || ( var3 == M7 && var5 == SUED && var6 == DG ) || ( var3 == M7 && var5 == SUIS && var6 == DG ) || ( var3 == M7 && var5 == YOUG && var6 == DG ) || ( var3 == M7 && var5 == MAGH && var6 == DG ) || ( var3 == M7 && var5 == TURQ && var6 == DG ) || ( var3 == M7 && var5 == ARGE && var6 == DG ) || ( var3 == M7 && var5 == BRES && var6 == DG ) || ( var3 == M7 && var5 == CHIL && var6 == DG ) || ( var3 == M7 && var5 == COLO && var6 == DG ) || ( var3 == M7 && var5 == AFSU && var6 == DD ) || ( var3 == M7 && var5 == MARO && var6 == DG ) || ( var3 == M7 && var5 == ISRA && var6 == DG ) || ( var3 == M7 && var5 == HONG && var6 == DG ) || ( var3 == M7 && var5 == TCHE && var6 == DG ) || ( var3 == M7 && var5 == POLO && var6 == DG ) || ( var3 == M7 && var5 == SLVQ && var6 == DG ) || ( var3 == M7 && var5 == JAPO && var6 == DD ) || ( var3 == M7 && var5 == TAIW && var6 == DG ) || ( var3 == M7 && var5 == AUST && var6 == DD ) || ( var3 == M7 && var5 == URUG && var6 == DG ) || ( var3 == M7 && var5 == DAIB && var6 == DG ) || ( var3 == M7 && var5 == DAIC && var6 == DG ) || ( var3 == M7 && var5 == DAID && var6 == DD ) || ( var3 == M7 && var5 == DAIF && var6 == DG ) || ( var3 == M7 && var5 == EUOR && var6 == DG ) || ( var3 == M7 && var5 == CETI && var6 == DG ) || ( var3 == M8 && var5 == FRAN && var6 == DG ) || ( var3 == M8 && var5 == DOTO && var6 == DG ) || ( var3 == M8 && var5 == ALLE && var6 == DG ) || ( var3 == M8 && var5 == AUTR && var6 == DG ) || ( var3 == M8 && var5 == BELG && var6 == DG ) || ( var3 == M8 && var5 == DANE && var6 == DG ) || ( var3 == M8 && var5 == ESPA && var6 == DG ) || ( var3 == M8 && var5 == FINL && var6 == DG ) || ( var3 == M8 && var5 == GRBR && var6 == DD ) || ( var3 == M8 && var5 == GREC && var6 == DG ) || ( var3 == M8 && var5 == HOLL && var6 == DG ) || ( var3 == M8 && var5 == IRLA && var6 == DD ) || ( var3 == M8 && var5 == ISLA && var6 == DG ) || ( var3 == M8 && var5 == ITAL && var6 == DG ) || ( var3 == M8 && var5 == NORV && var6 == DG ) || ( var3 == M8 && var5 == PORT && var6 == DG ) || ( var3 == M8 && var5 == SUED && var6 == DG ) || ( var3 == M8 && var5 == SUIS && var6 == DG ) || ( var3 == M8 && var5 == YOUG && var6 == DG ) || ( var3 == M8 && var5 == MAGH && var6 == DG ) || ( var3 == M8 && var5 == TURQ && var6 == DG ) || ( var3 == M8 && var5 == ARGE && var6 == DG ) || ( var3 == M8 && var5 == BRES && var6 == DG ) || ( var3 == M8 && var5 == CHIL && var6 == DG ) || ( var3 == M8 && var5 == COLO && var6 == DG ) || ( var3 == M8 && var5 == AFSU && var6 == DD ) || ( var3 == M8 && var5 == MARO && var6 == DG ) || ( var3 == M8 && var5 == ISRA && var6 == DG ) || ( var3 == M8 && var5 == HONG && var6 == DG ) || ( var3 == M8 && var5 == TCHE && var6 == DG ) || ( var3 == M8 && var5 == POLO && var6 == DG ) || ( var3 == M8 && var5 == SLVQ && var6 == DG ) || ( var3 == M8 && var5 == JAPO && var6 == DD ) || ( var3 == M8 && var5 == TAIW && var6 == DG ) || ( var3 == M8 && var5 == AUST && var6 == DD ) || ( var3 == M8 && var5 == URUG && var6 == DG ) || ( var3 == M8 && var5 == DAIB && var6 == DG ) || ( var3 == M8 && var5 == DAIC && var6 == DG ) || ( var3 == M8 && var5 == DAID && var6 == DD ) || ( var3 == M8 && var5 == DAIF && var6 == DG ) || ( var3 == M8 && var5 == EUOR && var6 == DG ) || ( var3 == M8 && var5 == CETI && var6 == DG ) || ( var3 == M9 && var5 == FRAN && var6 == DG ) || ( var3 == M9 && var5 == DOTO && var6 == DG ) || ( var3 == M9 && var5 == ALLE && var6 == DG ) || ( var3 == M9 && var5 == AUTR && var6 == DG ) || ( var3 == M9 && var5 == BELG && var6 == DG ) || ( var3 == M9 && var5 == DANE && var6 == DG ) || ( var3 == M9 && var5 == ESPA && var6 == DG ) || ( var3 == M9 && var5 == FINL && var6 == DG ) || ( var3 == M9 && var5 == GRBR && var6 == DD ) || ( var3 == M9 && var5 == GREC && var6 == DG ) || ( var3 == M9 && var5 == HOLL && var6 == DG ) || ( var3 == M9 && var5 == IRLA && var6 == DD ) || ( var3 == M9 && var5 == ISLA && var6 == DG ) || ( var3 == M9 && var5 == ITAL && var6 == DG ) || ( var3 == M9 && var5 == NORV && var6 == DG ) || ( var3 == M9 && var5 == PORT && var6 == DG ) || ( var3 == M9 && var5 == SUED && var6 == DG ) || ( var3 == M9 && var5 == SUIS && var6 == DG ) || ( var3 == M9 && var5 == YOUG && var6 == DG ) || ( var3 == M9 && var5 == MAGH && var6 == DG ) || ( var3 == M9 && var5 == TURQ && var6 == DG ) || ( var3 == M9 && var5 == ARGE && var6 == DG ) || ( var3 == M9 && var5 == BRES && var6 == DG ) || ( var3 == M9 && var5 == CHIL && var6 == DG ) || ( var3 == M9 && var5 == COLO && var6 == DG ) || ( var3 == M9 && var5 == AFSU && var6 == DD ) || ( var3 == M9 && var5 == MARO && var6 == DG ) || ( var3 == M9 && var5 == ISRA && var6 == DG ) || ( var3 == M9 && var5 == HONG && var6 == DG ) || ( var3 == M9 && var5 == TCHE && var6 == DG ) || ( var3 == M9 && var5 == POLO && var6 == DG ) || ( var3 == M9 && var5 == SLVQ && var6 == DG ) || ( var3 == M9 && var5 == JAPO && var6 == DD ) || ( var3 == M9 && var5 == TAIW && var6 == DG ) || ( var3 == M9 && var5 == AUST && var6 == DD ) || ( var3 == M9 && var5 == URUG && var6 == DG ) || ( var3 == M9 && var5 == DAIB && var6 == DG ) || ( var3 == M9 && var5 == DAIC && var6 == DG ) || ( var3 == M9 && var5 == DAID && var6 == DD ) || ( var3 == M9 && var5 == DAIF && var6 == DG ) || ( var3 == M9 && var5 == EUOR && var6 == DG ) || ( var3 == M9 && var5 == CETI && var6 == DG ) || ( var3 == MA && var5 == FRAN && var6 == DG ) || ( var3 == MA && var5 == DOTO && var6 == DG ) || ( var3 == MA && var5 == ALLE && var6 == DG ) || ( var3 == MA && var5 == AUTR && var6 == DG ) || ( var3 == MA && var5 == BELG && var6 == DG ) || ( var3 == MA && var5 == DANE && var6 == DG ) || ( var3 == MA && var5 == ESPA && var6 == DG ) || ( var3 == MA && var5 == FINL && var6 == DG ) || ( var3 == MA && var5 == GRBR && var6 == DD ) || ( var3 == MA && var5 == GREC && var6 == DG ) || ( var3 == MA && var5 == HOLL && var6 == DG ) || ( var3 == MA && var5 == IRLA && var6 == DD ) || ( var3 == MA && var5 == ISLA && var6 == DG ) || ( var3 == MA && var5 == ITAL && var6 == DG ) || ( var3 == MA && var5 == NORV && var6 == DG ) || ( var3 == MA && var5 == PORT && var6 == DG ) || ( var3 == MA && var5 == SUED && var6 == DG ) || ( var3 == MA && var5 == SUIS && var6 == DG ) || ( var3 == MA && var5 == YOUG && var6 == DG ) || ( var3 == MA && var5 == MAGH && var6 == DG ) || ( var3 == MA && var5 == TURQ && var6 == DG ) || ( var3 == MA && var5 == ARGE && var6 == DG ) || ( var3 == MA && var5 == BRES && var6 == DG ) || ( var3 == MA && var5 == CHIL && var6 == DG ) || ( var3 == MA && var5 == COLO && var6 == DG ) || ( var3 == MA && var5 == AFSU && var6 == DD ) || ( var3 == MA && var5 == MARO && var6 == DG ) || ( var3 == MA && var5 == ISRA && var6 == DG ) || ( var3 == MA && var5 == HONG && var6 == DG ) || ( var3 == MA && var5 == TCHE && var6 == DG ) || ( var3 == MA && var5 == POLO && var6 == DG ) || ( var3 == MA && var5 == SLVQ && var6 == DG ) || ( var3 == MA && var5 == JAPO && var6 == DD ) || ( var3 == MA && var5 == TAIW && var6 == DG ) || ( var3 == MA && var5 == AUST && var6 == DD ) || ( var3 == MA && var5 == URUG && var6 == DG ) || ( var3 == MA && var5 == DAIB && var6 == DG ) || ( var3 == MA && var5 == DAIC && var6 == DG ) || ( var3 == MA && var5 == DAID && var6 == DD ) || ( var3 == MA && var5 == DAIF && var6 == DG ) || ( var3 == MA && var5 == EUOR && var6 == DG ) || ( var3 == MA && var5 == CETI && var6 == DG ) || ( var3 == MB && var5 == FRAN && var6 == DG ) || ( var3 == MB && var5 == DOTO && var6 == DG ) || ( var3 == MB && var5 == ALLE && var6 == DG ) || ( var3 == MB && var5 == AUTR && var6 == DG ) || ( var3 == MB && var5 == BELG && var6 == DG ) || ( var3 == MB && var5 == DANE && var6 == DG ) || ( var3 == MB && var5 == ESPA && var6 == DG ) || ( var3 == MB && var5 == FINL && var6 == DG ) || ( var3 == MB && var5 == GRBR && var6 == DD ) || ( var3 == MB && var5 == GREC && var6 == DG ) || ( var3 == MB && var5 == HOLL && var6 == DG ) || ( var3 == MB && var5 == IRLA && var6 == DD ) || ( var3 == MB && var5 == ISLA && var6 == DG ) || ( var3 == MB && var5 == ITAL && var6 == DG ) || ( var3 == MB && var5 == NORV && var6 == DG ) || ( var3 == MB && var5 == PORT && var6 == DG ) || ( var3 == MB && var5 == SUED && var6 == DG ) || ( var3 == MB && var5 == SUIS && var6 == DG ) || ( var3 == MB && var5 == YOUG && var6 == DG ) || ( var3 == MB && var5 == MAGH && var6 == DG ) || ( var3 == MB && var5 == TURQ && var6 == DG ) || ( var3 == MB && var5 == ARGE && var6 == DG ) || ( var3 == MB && var5 == BRES && var6 == DG ) || ( var3 == MB && var5 == CHIL && var6 == DG ) || ( var3 == MB && var5 == COLO && var6 == DG ) || ( var3 == MB && var5 == AFSU && var6 == DD ) || ( var3 == MB && var5 == MARO && var6 == DG ) || ( var3 == MB && var5 == ISRA && var6 == DG ) || ( var3 == MB && var5 == HONG && var6 == DG ) || ( var3 == MB && var5 == TCHE && var6 == DG ) || ( var3 == MB && var5 == POLO && var6 == DG ) || ( var3 == MB && var5 == SLVQ && var6 == DG ) || ( var3 == MB && var5 == JAPO && var6 == DD ) || ( var3 == MB && var5 == TAIW && var6 == DG ) || ( var3 == MB && var5 == AUST && var6 == DD ) || ( var3 == MB && var5 == URUG && var6 == DG ) || ( var3 == MB && var5 == DAIB && var6 == DG ) || ( var3 == MB && var5 == DAIC && var6 == DG ) || ( var3 == MB && var5 == DAID && var6 == DD ) || ( var3 == MB && var5 == DAIF && var6 == DG ) || ( var3 == MB && var5 == EUOR && var6 == DG ) || ( var3 == MB && var5 == CETI && var6 == DG ) || ( var3 == MC && var5 == FRAN && var6 == DG ) || ( var3 == MC && var5 == DOTO && var6 == DG ) || ( var3 == MC && var5 == ALLE && var6 == DG ) || ( var3 == MC && var5 == AUTR && var6 == DG ) || ( var3 == MC && var5 == BELG && var6 == DG ) || ( var3 == MC && var5 == DANE && var6 == DG ) || ( var3 == MC && var5 == ESPA && var6 == DG ) || ( var3 == MC && var5 == FINL && var6 == DG ) || ( var3 == MC && var5 == GRBR && var6 == DD ) || ( var3 == MC && var5 == GREC && var6 == DG ) || ( var3 == MC && var5 == HOLL && var6 == DG ) || ( var3 == MC && var5 == IRLA && var6 == DD ) || ( var3 == MC && var5 == ISLA && var6 == DG ) || ( var3 == MC && var5 == ITAL && var6 == DG ) || ( var3 == MC && var5 == NORV && var6 == DG ) || ( var3 == MC && var5 == PORT && var6 == DG ) || ( var3 == MC && var5 == SUED && var6 == DG ) || ( var3 == MC && var5 == SUIS && var6 == DG ) || ( var3 == MC && var5 == YOUG && var6 == DG ) || ( var3 == MC && var5 == MAGH && var6 == DG ) || ( var3 == MC && var5 == TURQ && var6 == DG ) || ( var3 == MC && var5 == ARGE && var6 == DG ) || ( var3 == MC && var5 == BRES && var6 == DG ) || ( var3 == MC && var5 == CHIL && var6 == DG ) || ( var3 == MC && var5 == COLO && var6 == DG ) || ( var3 == MC && var5 == AFSU && var6 == DD ) || ( var3 == MC && var5 == MARO && var6 == DG ) || ( var3 == MC && var5 == ISRA && var6 == DG ) || ( var3 == MC && var5 == HONG && var6 == DG ) || ( var3 == MC && var5 == TCHE && var6 == DG ) || ( var3 == MC && var5 == POLO && var6 == DG ) || ( var3 == MC && var5 == SLVQ && var6 == DG ) || ( var3 == MC && var5 == JAPO && var6 == DD ) || ( var3 == MC && var5 == TAIW && var6 == DG ) || ( var3 == MC && var5 == AUST && var6 == DD ) || ( var3 == MC && var5 == URUG && var6 == DG ) || ( var3 == MC && var5 == DAIB && var6 == DG ) || ( var3 == MC && var5 == DAIC && var6 == DG ) || ( var3 == MC && var5 == DAID && var6 == DD ) || ( var3 == MC && var5 == DAIF && var6 == DG ) || ( var3 == MC && var5 == EUOR && var6 == DG ) || ( var3 == MC && var5 == CETI && var6 == DG ) || ( var3 == MD && var5 == FRAN && var6 == DG ) || ( var3 == MD && var5 == DOTO && var6 == DG ) || ( var3 == MD && var5 == ALLE && var6 == DG ) || ( var3 == MD && var5 == AUTR && var6 == DG ) || ( var3 == MD && var5 == BELG && var6 == DG ) || ( var3 == MD && var5 == DANE && var6 == DG ) || ( var3 == MD && var5 == ESPA && var6 == DG ) || ( var3 == MD && var5 == FINL && var6 == DG ) || ( var3 == MD && var5 == GRBR && var6 == DD ) || ( var3 == MD && var5 == GREC && var6 == DG ) || ( var3 == MD && var5 == HOLL && var6 == DG ) || ( var3 == MD && var5 == IRLA && var6 == DD ) || ( var3 == MD && var5 == ISLA && var6 == DG ) || ( var3 == MD && var5 == ITAL && var6 == DG ) || ( var3 == MD && var5 == NORV && var6 == DG ) || ( var3 == MD && var5 == PORT && var6 == DG ) || ( var3 == MD && var5 == SUED && var6 == DG ) || ( var3 == MD && var5 == SUIS && var6 == DG ) || ( var3 == MD && var5 == YOUG && var6 == DG ) || ( var3 == MD && var5 == MAGH && var6 == DG ) || ( var3 == MD && var5 == TURQ && var6 == DG ) || ( var3 == MD && var5 == ARGE && var6 == DG ) || ( var3 == MD && var5 == BRES && var6 == DG ) || ( var3 == MD && var5 == CHIL && var6 == DG ) || ( var3 == MD && var5 == COLO && var6 == DG ) || ( var3 == MD && var5 == AFSU && var6 == DD ) || ( var3 == MD && var5 == MARO && var6 == DG ) || ( var3 == MD && var5 == ISRA && var6 == DG ) || ( var3 == MD && var5 == HONG && var6 == DG ) || ( var3 == MD && var5 == TCHE && var6 == DG ) || ( var3 == MD && var5 == POLO && var6 == DG ) || ( var3 == MD && var5 == SLVQ && var6 == DG ) || ( var3 == MD && var5 == JAPO && var6 == DD ) || ( var3 == MD && var5 == TAIW && var6 == DG ) || ( var3 == MD && var5 == AUST && var6 == DD ) || ( var3 == MD && var5 == URUG && var6 == DG ) || ( var3 == MD && var5 == DAIB && var6 == DG ) || ( var3 == MD && var5 == DAIC && var6 == DG ) || ( var3 == MD && var5 == DAID && var6 == DD ) || ( var3 == MD && var5 == DAIF && var6 == DG ) || ( var3 == MD && var5 == EUOR && var6 == DG ) || ( var3 == MD && var5 == CETI && var6 == DG ) || ( var3 == ME && var5 == FRAN && var6 == DG ) || ( var3 == ME && var5 == DOTO && var6 == DG ) || ( var3 == ME && var5 == ALLE && var6 == DG ) || ( var3 == ME && var5 == AUTR && var6 == DG ) || ( var3 == ME && var5 == BELG && var6 == DG ) || ( var3 == ME && var5 == DANE && var6 == DG ) || ( var3 == ME && var5 == ESPA && var6 == DG ) || ( var3 == ME && var5 == FINL && var6 == DG ) || ( var3 == ME && var5 == GRBR && var6 == DD ) || ( var3 == ME && var5 == GREC && var6 == DG ) || ( var3 == ME && var5 == HOLL && var6 == DG ) || ( var3 == ME && var5 == IRLA && var6 == DD ) || ( var3 == ME && var5 == ISLA && var6 == DG ) || ( var3 == ME && var5 == ITAL && var6 == DG ) || ( var3 == ME && var5 == NORV && var6 == DG ) || ( var3 == ME && var5 == PORT && var6 == DG ) || ( var3 == ME && var5 == SUED && var6 == DG ) || ( var3 == ME && var5 == SUIS && var6 == DG ) || ( var3 == ME && var5 == YOUG && var6 == DG ) || ( var3 == ME && var5 == MAGH && var6 == DG ) || ( var3 == ME && var5 == TURQ && var6 == DG ) || ( var3 == ME && var5 == ARGE && var6 == DG ) || ( var3 == ME && var5 == BRES && var6 == DG ) || ( var3 == ME && var5 == CHIL && var6 == DG ) || ( var3 == ME && var5 == COLO && var6 == DG ) || ( var3 == ME && var5 == AFSU && var6 == DD ) || ( var3 == ME && var5 == MARO && var6 == DG ) || ( var3 == ME && var5 == ISRA && var6 == DG ) || ( var3 == ME && var5 == HONG && var6 == DG ) || ( var3 == ME && var5 == TCHE && var6 == DG ) || ( var3 == ME && var5 == POLO && var6 == DG ) || ( var3 == ME && var5 == SLVQ && var6 == DG ) || ( var3 == ME && var5 == JAPO && var6 == DD ) || ( var3 == ME && var5 == TAIW && var6 == DG ) || ( var3 == ME && var5 == AUST && var6 == DD ) || ( var3 == ME && var5 == URUG && var6 == DG ) || ( var3 == ME && var5 == DAIB && var6 == DG ) || ( var3 == ME && var5 == DAIC && var6 == DG ) || ( var3 == ME && var5 == DAID && var6 == DD ) || ( var3 == ME && var5 == DAIF && var6 == DG ) || ( var3 == ME && var5 == EUOR && var6 == DG ) || ( var3 == ME && var5 == CETI && var6 == DG ) || ( var3 == MF && var5 == FRAN && var6 == DG ) || ( var3 == MF && var5 == DOTO && var6 == DG ) || ( var3 == MF && var5 == ALLE && var6 == DG ) || ( var3 == MF && var5 == AUTR && var6 == DG ) || ( var3 == MF && var5 == BELG && var6 == DG ) || ( var3 == MF && var5 == DANE && var6 == DG ) || ( var3 == MF && var5 == ESPA && var6 == DG ) || ( var3 == MF && var5 == FINL && var6 == DG ) || ( var3 == MF && var5 == GRBR && var6 == DD ) || ( var3 == MF && var5 == GREC && var6 == DG ) || ( var3 == MF && var5 == HOLL && var6 == DG ) || ( var3 == MF && var5 == IRLA && var6 == DD ) || ( var3 == MF && var5 == ISLA && var6 == DG ) || ( var3 == MF && var5 == ITAL && var6 == DG ) || ( var3 == MF && var5 == NORV && var6 == DG ) || ( var3 == MF && var5 == PORT && var6 == DG ) || ( var3 == MF && var5 == SUED && var6 == DG ) || ( var3 == MF && var5 == SUIS && var6 == DG ) || ( var3 == MF && var5 == YOUG && var6 == DG ) || ( var3 == MF && var5 == MAGH && var6 == DG ) || ( var3 == MF && var5 == TURQ && var6 == DG ) || ( var3 == MF && var5 == ARGE && var6 == DG ) || ( var3 == MF && var5 == BRES && var6 == DG ) || ( var3 == MF && var5 == CHIL && var6 == DG ) || ( var3 == MF && var5 == COLO && var6 == DG ) || ( var3 == MF && var5 == AFSU && var6 == DD ) || ( var3 == MF && var5 == MARO && var6 == DG ) || ( var3 == MF && var5 == ISRA && var6 == DG ) || ( var3 == MF && var5 == HONG && var6 == DG ) || ( var3 == MF && var5 == TCHE && var6 == DG ) || ( var3 == MF && var5 == POLO && var6 == DG ) || ( var3 == MF && var5 == SLVQ && var6 == DG ) || ( var3 == MF && var5 == JAPO && var6 == DD ) || ( var3 == MF && var5 == TAIW && var6 == DG ) || ( var3 == MF && var5 == AUST && var6 == DD ) || ( var3 == MF && var5 == URUG && var6 == DG ) || ( var3 == MF && var5 == DAIB && var6 == DG ) || ( var3 == MF && var5 == DAIC && var6 == DG ) || ( var3 == MF && var5 == DAID && var6 == DD ) || ( var3 == MF && var5 == DAIF && var6 == DG ) || ( var3 == MF && var5 == EUOR && var6 == DG ) || ( var3 == MF && var5 == CETI && var6 == DG ) || ( var3 == MJ && var5 == FRAN && var6 == DG ) || ( var3 == MJ && var5 == DOTO && var6 == DG ) || ( var3 == MJ && var5 == ALLE && var6 == DG ) || ( var3 == MJ && var5 == AUTR && var6 == DG ) || ( var3 == MJ && var5 == BELG && var6 == DG ) || ( var3 == MJ && var5 == DANE && var6 == DG ) || ( var3 == MJ && var5 == ESPA && var6 == DG ) || ( var3 == MJ && var5 == FINL && var6 == DG ) || ( var3 == MJ && var5 == GRBR && var6 == DD ) || ( var3 == MJ && var5 == GREC && var6 == DG ) || ( var3 == MJ && var5 == HOLL && var6 == DG ) || ( var3 == MJ && var5 == IRLA && var6 == DD ) || ( var3 == MJ && var5 == ISLA && var6 == DG ) || ( var3 == MJ && var5 == ITAL && var6 == DG ) || ( var3 == MJ && var5 == NORV && var6 == DG ) || ( var3 == MJ && var5 == PORT && var6 == DG ) || ( var3 == MJ && var5 == SUED && var6 == DG ) || ( var3 == MJ && var5 == SUIS && var6 == DG ) || ( var3 == MJ && var5 == YOUG && var6 == DG ) || ( var3 == MJ && var5 == MAGH && var6 == DG ) || ( var3 == MJ && var5 == TURQ && var6 == DG ) || ( var3 == MJ && var5 == ARGE && var6 == DG ) || ( var3 == MJ && var5 == BRES && var6 == DG ) || ( var3 == MJ && var5 == CHIL && var6 == DG ) || ( var3 == MJ && var5 == COLO && var6 == DG ) || ( var3 == MJ && var5 == AFSU && var6 == DD ) || ( var3 == MJ && var5 == MARO && var6 == DG ) || ( var3 == MJ && var5 == ISRA && var6 == DG ) || ( var3 == MJ && var5 == HONG && var6 == DG ) || ( var3 == MJ && var5 == TCHE && var6 == DG ) || ( var3 == MJ && var5 == POLO && var6 == DG ) || ( var3 == MJ && var5 == SLVQ && var6 == DG ) || ( var3 == MJ && var5 == JAPO && var6 == DD ) || ( var3 == MJ && var5 == TAIW && var6 == DG ) || ( var3 == MJ && var5 == AUST && var6 == DD ) || ( var3 == MJ && var5 == URUG && var6 == DG ) || ( var3 == MJ && var5 == DAIB && var6 == DG ) || ( var3 == MJ && var5 == DAIC && var6 == DG ) || ( var3 == MJ && var5 == DAID && var6 == DD ) || ( var3 == MJ && var5 == DAIF && var6 == DG ) || ( var3 == MJ && var5 == EUOR && var6 == DG ) || ( var3 == MJ && var5 == CETI && var6 == DG ) || ( var3 == MK && var5 == FRAN && var6 == DG ) || ( var3 == MK && var5 == DOTO && var6 == DG ) || ( var3 == MK && var5 == ALLE && var6 == DG ) || ( var3 == MK && var5 == AUTR && var6 == DG ) || ( var3 == MK && var5 == BELG && var6 == DG ) || ( var3 == MK && var5 == DANE && var6 == DG ) || ( var3 == MK && var5 == ESPA && var6 == DG ) || ( var3 == MK && var5 == FINL && var6 == DG ) || ( var3 == MK && var5 == GRBR && var6 == DD ) || ( var3 == MK && var5 == GREC && var6 == DG ) || ( var3 == MK && var5 == HOLL && var6 == DG ) || ( var3 == MK && var5 == IRLA && var6 == DD ) || ( var3 == MK && var5 == ISLA && var6 == DG ) || ( var3 == MK && var5 == ITAL && var6 == DG ) || ( var3 == MK && var5 == NORV && var6 == DG ) || ( var3 == MK && var5 == PORT && var6 == DG ) || ( var3 == MK && var5 == SUED && var6 == DG ) || ( var3 == MK && var5 == SUIS && var6 == DG ) || ( var3 == MK && var5 == YOUG && var6 == DG ) || ( var3 == MK && var5 == MAGH && var6 == DG ) || ( var3 == MK && var5 == TURQ && var6 == DG ) || ( var3 == MK && var5 == ARGE && var6 == DG ) || ( var3 == MK && var5 == BRES && var6 == DG ) || ( var3 == MK && var5 == CHIL && var6 == DG ) || ( var3 == MK && var5 == COLO && var6 == DG ) || ( var3 == MK && var5 == AFSU && var6 == DD ) || ( var3 == MK && var5 == MARO && var6 == DG ) || ( var3 == MK && var5 == ISRA && var6 == DG ) || ( var3 == MK && var5 == HONG && var6 == DG ) || ( var3 == MK && var5 == TCHE && var6 == DG ) || ( var3 == MK && var5 == POLO && var6 == DG ) || ( var3 == MK && var5 == SLVQ && var6 == DG ) || ( var3 == MK && var5 == JAPO && var6 == DD ) || ( var3 == MK && var5 == TAIW && var6 == DG ) || ( var3 == MK && var5 == AUST && var6 == DD ) || ( var3 == MK && var5 == URUG && var6 == DG ) || ( var3 == MK && var5 == DAIB && var6 == DG ) || ( var3 == MK && var5 == DAIC && var6 == DG ) || ( var3 == MK && var5 == DAID && var6 == DD ) || ( var3 == MK && var5 == DAIF && var6 == DG ) || ( var3 == MK && var5 == EUOR && var6 == DG ) || ( var3 == MK && var5 == CETI && var6 == DG ) || ( var3 == ML && var5 == FRAN && var6 == DG ) || ( var3 == ML && var5 == DOTO && var6 == DG ) || ( var3 == ML && var5 == ALLE && var6 == DG ) || ( var3 == ML && var5 == AUTR && var6 == DG ) || ( var3 == ML && var5 == BELG && var6 == DG ) || ( var3 == ML && var5 == DANE && var6 == DG ) || ( var3 == ML && var5 == ESPA && var6 == DG ) || ( var3 == ML && var5 == FINL && var6 == DG ) || ( var3 == ML && var5 == GRBR && var6 == DD ) || ( var3 == ML && var5 == GREC && var6 == DG ) || ( var3 == ML && var5 == HOLL && var6 == DG ) || ( var3 == ML && var5 == IRLA && var6 == DD ) || ( var3 == ML && var5 == ISLA && var6 == DG ) || ( var3 == ML && var5 == ITAL && var6 == DG ) || ( var3 == ML && var5 == NORV && var6 == DG ) || ( var3 == ML && var5 == PORT && var6 == DG ) || ( var3 == ML && var5 == SUED && var6 == DG ) || ( var3 == ML && var5 == SUIS && var6 == DG ) || ( var3 == ML && var5 == YOUG && var6 == DG ) || ( var3 == ML && var5 == MAGH && var6 == DG ) || ( var3 == ML && var5 == TURQ && var6 == DG ) || ( var3 == ML && var5 == ARGE && var6 == DG ) || ( var3 == ML && var5 == BRES && var6 == DG ) || ( var3 == ML && var5 == CHIL && var6 == DG ) || ( var3 == ML && var5 == COLO && var6 == DG ) || ( var3 == ML && var5 == AFSU && var6 == DD ) || ( var3 == ML && var5 == MARO && var6 == DG ) || ( var3 == ML && var5 == ISRA && var6 == DG ) || ( var3 == ML && var5 == HONG && var6 == DG ) || ( var3 == ML && var5 == TCHE && var6 == DG ) || ( var3 == ML && var5 == POLO && var6 == DG ) || ( var3 == ML && var5 == SLVQ && var6 == DG ) || ( var3 == ML && var5 == JAPO && var6 == DD ) || ( var3 == ML && var5 == TAIW && var6 == DG ) || ( var3 == ML && var5 == AUST && var6 == DD ) || ( var3 == ML && var5 == URUG && var6 == DG ) || ( var3 == ML && var5 == DAIB && var6 == DG ) || ( var3 == ML && var5 == DAIC && var6 == DG ) || ( var3 == ML && var5 == DAID && var6 == DD ) || ( var3 == ML && var5 == DAIF && var6 == DG ) || ( var3 == ML && var5 == EUOR && var6 == DG ) || ( var3 == ML && var5 == CETI && var6 == DG ) || ( var3 == MM && var5 == FRAN && var6 == DG ) || ( var3 == MM && var5 == DOTO && var6 == DG ) || ( var3 == MM && var5 == ALLE && var6 == DG ) || ( var3 == MM && var5 == AUTR && var6 == DG ) || ( var3 == MM && var5 == BELG && var6 == DG ) || ( var3 == MM && var5 == DANE && var6 == DG ) || ( var3 == MM && var5 == ESPA && var6 == DG ) || ( var3 == MM && var5 == FINL && var6 == DG ) || ( var3 == MM && var5 == GRBR && var6 == DD ) || ( var3 == MM && var5 == GREC && var6 == DG ) || ( var3 == MM && var5 == HOLL && var6 == DG ) || ( var3 == MM && var5 == IRLA && var6 == DD ) || ( var3 == MM && var5 == ISLA && var6 == DG ) || ( var3 == MM && var5 == ITAL && var6 == DG ) || ( var3 == MM && var5 == NORV && var6 == DG ) || ( var3 == MM && var5 == PORT && var6 == DG ) || ( var3 == MM && var5 == SUED && var6 == DG ) || ( var3 == MM && var5 == SUIS && var6 == DG ) || ( var3 == MM && var5 == YOUG && var6 == DG ) || ( var3 == MM && var5 == MAGH && var6 == DG ) || ( var3 == MM && var5 == TURQ && var6 == DG ) || ( var3 == MM && var5 == ARGE && var6 == DG ) || ( var3 == MM && var5 == BRES && var6 == DG ) || ( var3 == MM && var5 == CHIL && var6 == DG ) || ( var3 == MM && var5 == COLO && var6 == DG ) || ( var3 == MM && var5 == AFSU && var6 == DD ) || ( var3 == MM && var5 == MARO && var6 == DG ) || ( var3 == MM && var5 == ISRA && var6 == DG ) || ( var3 == MM && var5 == HONG && var6 == DG ) || ( var3 == MM && var5 == TCHE && var6 == DG ) || ( var3 == MM && var5 == POLO && var6 == DG ) || ( var3 == MM && var5 == SLVQ && var6 == DG ) || ( var3 == MM && var5 == JAPO && var6 == DD ) || ( var3 == MM && var5 == TAIW && var6 == DG ) || ( var3 == MM && var5 == AUST && var6 == DD ) || ( var3 == MM && var5 == URUG && var6 == DG ) || ( var3 == MM && var5 == DAIB && var6 == DG ) || ( var3 == MM && var5 == DAIC && var6 == DG ) || ( var3 == MM && var5 == DAID && var6 == DD ) || ( var3 == MM && var5 == DAIF && var6 == DG ) || ( var3 == MM && var5 == EUOR && var6 == DG ) || ( var3 == MM && var5 == CETI && var6 == DG ) || ( var3 == MS && var5 == FRAN && var6 == DG ) || ( var3 == MS && var5 == DOTO && var6 == DG ) || ( var3 == MS && var5 == ALLE && var6 == DG ) || ( var3 == MS && var5 == AUTR && var6 == DG ) || ( var3 == MS && var5 == BELG && var6 == DG ) || ( var3 == MS && var5 == DANE && var6 == DG ) || ( var3 == MS && var5 == ESPA && var6 == DG ) || ( var3 == MS && var5 == FINL && var6 == DG ) || ( var3 == MS && var5 == GRBR && var6 == DD ) || ( var3 == MS && var5 == GREC && var6 == DG ) || ( var3 == MS && var5 == HOLL && var6 == DG ) || ( var3 == MS && var5 == IRLA && var6 == DD ) || ( var3 == MS && var5 == ISLA && var6 == DG ) || ( var3 == MS && var5 == ITAL && var6 == DG ) || ( var3 == MS && var5 == NORV && var6 == DG ) || ( var3 == MS && var5 == PORT && var6 == DG ) || ( var3 == MS && var5 == SUED && var6 == DG ) || ( var3 == MS && var5 == SUIS && var6 == DG ) || ( var3 == MS && var5 == YOUG && var6 == DG ) || ( var3 == MS && var5 == MAGH && var6 == DG ) || ( var3 == MS && var5 == TURQ && var6 == DG ) || ( var3 == MS && var5 == ARGE && var6 == DG ) || ( var3 == MS && var5 == BRES && var6 == DG ) || ( var3 == MS && var5 == CHIL && var6 == DG ) || ( var3 == MS && var5 == COLO && var6 == DG ) || ( var3 == MS && var5 == AFSU && var6 == DD ) || ( var3 == MS && var5 == MARO && var6 == DG ) || ( var3 == MS && var5 == ISRA && var6 == DG ) || ( var3 == MS && var5 == HONG && var6 == DG ) || ( var3 == MS && var5 == TCHE && var6 == DG ) || ( var3 == MS && var5 == POLO && var6 == DG ) || ( var3 == MS && var5 == SLVQ && var6 == DG ) || ( var3 == MS && var5 == JAPO && var6 == DD ) || ( var3 == MS && var5 == TAIW && var6 == DG ) || ( var3 == MS && var5 == AUST && var6 == DD ) || ( var3 == MS && var5 == URUG && var6 == DG ) || ( var3 == MS && var5 == DAIB && var6 == DG ) || ( var3 == MS && var5 == DAIC && var6 == DG ) || ( var3 == MS && var5 == DAID && var6 == DD ) || ( var3 == MS && var5 == DAIF && var6 == DG ) || ( var3 == MS && var5 == EUOR && var6 == DG ) || ( var3 == MS && var5 == CETI && var6 == DG ) || ( var3 == MT && var5 == FRAN && var6 == DG ) || ( var3 == MT && var5 == DOTO && var6 == DG ) || ( var3 == MT && var5 == ALLE && var6 == DG ) || ( var3 == MT && var5 == AUTR && var6 == DG ) || ( var3 == MT && var5 == BELG && var6 == DG ) || ( var3 == MT && var5 == DANE && var6 == DG ) || ( var3 == MT && var5 == ESPA && var6 == DG ) || ( var3 == MT && var5 == FINL && var6 == DG ) || ( var3 == MT && var5 == GRBR && var6 == DD ) || ( var3 == MT && var5 == GREC && var6 == DG ) || ( var3 == MT && var5 == HOLL && var6 == DG ) || ( var3 == MT && var5 == IRLA && var6 == DD ) || ( var3 == MT && var5 == ISLA && var6 == DG ) || ( var3 == MT && var5 == ITAL && var6 == DG ) || ( var3 == MT && var5 == NORV && var6 == DG ) || ( var3 == MT && var5 == PORT && var6 == DG ) || ( var3 == MT && var5 == SUED && var6 == DG ) || ( var3 == MT && var5 == SUIS && var6 == DG ) || ( var3 == MT && var5 == YOUG && var6 == DG ) || ( var3 == MT && var5 == MAGH && var6 == DG ) || ( var3 == MT && var5 == TURQ && var6 == DG ) || ( var3 == MT && var5 == ARGE && var6 == DG ) || ( var3 == MT && var5 == BRES && var6 == DG ) || ( var3 == MT && var5 == CHIL && var6 == DG ) || ( var3 == MT && var5 == COLO && var6 == DG ) || ( var3 == MT && var5 == AFSU && var6 == DD ) || ( var3 == MT && var5 == MARO && var6 == DG ) || ( var3 == MT && var5 == ISRA && var6 == DG ) || ( var3 == MT && var5 == HONG && var6 == DG ) || ( var3 == MT && var5 == TCHE && var6 == DG ) || ( var3 == MT && var5 == POLO && var6 == DG ) || ( var3 == MT && var5 == SLVQ && var6 == DG ) || ( var3 == MT && var5 == JAPO && var6 == DD ) || ( var3 == MT && var5 == TAIW && var6 == DG ) || ( var3 == MT && var5 == AUST && var6 == DD ) || ( var3 == MT && var5 == URUG && var6 == DG ) || ( var3 == MT && var5 == DAIB && var6 == DG ) || ( var3 == MT && var5 == DAIC && var6 == DG ) || ( var3 == MT && var5 == DAID && var6 == DD ) || ( var3 == MT && var5 == DAIF && var6 == DG ) || ( var3 == MT && var5 == EUOR && var6 == DG ) || ( var3 == MT && var5 == CETI && var6 == DG ) || ( var3 == MU && var5 == FRAN && var6 == DG ) || ( var3 == MU && var5 == DOTO && var6 == DG ) || ( var3 == MU && var5 == ALLE && var6 == DG ) || ( var3 == MU && var5 == AUTR && var6 == DG ) || ( var3 == MU && var5 == BELG && var6 == DG ) || ( var3 == MU && var5 == DANE && var6 == DG ) || ( var3 == MU && var5 == ESPA && var6 == DG ) || ( var3 == MU && var5 == FINL && var6 == DG ) || ( var3 == MU && var5 == GRBR && var6 == DD ) || ( var3 == MU && var5 == GREC && var6 == DG ) || ( var3 == MU && var5 == HOLL && var6 == DG ) || ( var3 == MU && var5 == IRLA && var6 == DD ) || ( var3 == MU && var5 == ISLA && var6 == DG ) || ( var3 == MU && var5 == ITAL && var6 == DG ) || ( var3 == MU && var5 == NORV && var6 == DG ) || ( var3 == MU && var5 == PORT && var6 == DG ) || ( var3 == MU && var5 == SUED && var6 == DG ) || ( var3 == MU && var5 == SUIS && var6 == DG ) || ( var3 == MU && var5 == YOUG && var6 == DG ) || ( var3 == MU && var5 == MAGH && var6 == DG ) || ( var3 == MU && var5 == TURQ && var6 == DG ) || ( var3 == MU && var5 == ARGE && var6 == DG ) || ( var3 == MU && var5 == BRES && var6 == DG ) || ( var3 == MU && var5 == CHIL && var6 == DG ) || ( var3 == MU && var5 == COLO && var6 == DG ) || ( var3 == MU && var5 == AFSU && var6 == DD ) || ( var3 == MU && var5 == MARO && var6 == DG ) || ( var3 == MU && var5 == ISRA && var6 == DG ) || ( var3 == MU && var5 == HONG && var6 == DG ) || ( var3 == MU && var5 == TCHE && var6 == DG ) || ( var3 == MU && var5 == POLO && var6 == DG ) || ( var3 == MU && var5 == SLVQ && var6 == DG ) || ( var3 == MU && var5 == JAPO && var6 == DD ) || ( var3 == MU && var5 == TAIW && var6 == DG ) || ( var3 == MU && var5 == AUST && var6 == DD ) || ( var3 == MU && var5 == URUG && var6 == DG ) || ( var3 == MU && var5 == DAIB && var6 == DG ) || ( var3 == MU && var5 == DAIC && var6 == DG ) || ( var3 == MU && var5 == DAID && var6 == DD ) || ( var3 == MU && var5 == DAIF && var6 == DG ) || ( var3 == MU && var5 == EUOR && var6 == DG ) || ( var3 == MU && var5 == CETI && var6 == DG ) || ( var3 == MN && var5 == FRAN && var6 == DG ) || ( var3 == MN && var5 == DOTO && var6 == DG ) || ( var3 == MN && var5 == ALLE && var6 == DG ) || ( var3 == MN && var5 == AUTR && var6 == DG ) || ( var3 == MN && var5 == BELG && var6 == DG ) || ( var3 == MN && var5 == DANE && var6 == DG ) || ( var3 == MN && var5 == ESPA && var6 == DG ) || ( var3 == MN && var5 == FINL && var6 == DG ) || ( var3 == MN && var5 == GRBR && var6 == DD ) || ( var3 == MN && var5 == GREC && var6 == DG ) || ( var3 == MN && var5 == HOLL && var6 == DG ) || ( var3 == MN && var5 == IRLA && var6 == DD ) || ( var3 == MN && var5 == ISLA && var6 == DG ) || ( var3 == MN && var5 == ITAL && var6 == DG ) || ( var3 == MN && var5 == NORV && var6 == DG ) || ( var3 == MN && var5 == PORT && var6 == DG ) || ( var3 == MN && var5 == SUED && var6 == DG ) || ( var3 == MN && var5 == SUIS && var6 == DG ) || ( var3 == MN && var5 == YOUG && var6 == DG ) || ( var3 == MN && var5 == MAGH && var6 == DG ) || ( var3 == MN && var5 == TURQ && var6 == DG ) || ( var3 == MN && var5 == ARGE && var6 == DG ) || ( var3 == MN && var5 == BRES && var6 == DG ) || ( var3 == MN && var5 == CHIL && var6 == DG ) || ( var3 == MN && var5 == COLO && var6 == DG ) || ( var3 == MN && var5 == AFSU && var6 == DD ) || ( var3 == MN && var5 == MARO && var6 == DG ) || ( var3 == MN && var5 == ISRA && var6 == DG ) || ( var3 == MN && var5 == HONG && var6 == DG ) || ( var3 == MN && var5 == TCHE && var6 == DG ) || ( var3 == MN && var5 == POLO && var6 == DG ) || ( var3 == MN && var5 == SLVQ && var6 == DG ) || ( var3 == MN && var5 == JAPO && var6 == DD ) || ( var3 == MN && var5 == TAIW && var6 == DG ) || ( var3 == MN && var5 == AUST && var6 == DD ) || ( var3 == MN && var5 == URUG && var6 == DG ) || ( var3 == MN && var5 == DAIB && var6 == DG ) || ( var3 == MN && var5 == DAIC && var6 == DG ) || ( var3 == MN && var5 == DAID && var6 == DD ) || ( var3 == MN && var5 == DAIF && var6 == DG ) || ( var3 == MN && var5 == EUOR && var6 == DG ) || ( var3 == MN && var5 == CETI && var6 == DG ) || ( var3 == MH && var5 == FRAN && var6 == DG ) || ( var3 == MH && var5 == DOTO && var6 == DG ) || ( var3 == MH && var5 == ALLE && var6 == DG ) || ( var3 == MH && var5 == AUTR && var6 == DG ) || ( var3 == MH && var5 == BELG && var6 == DG ) || ( var3 == MH && var5 == DANE && var6 == DG ) || ( var3 == MH && var5 == ESPA && var6 == DG ) || ( var3 == MH && var5 == FINL && var6 == DG ) || ( var3 == MH && var5 == GRBR && var6 == DD ) || ( var3 == MH && var5 == GREC && var6 == DG ) || ( var3 == MH && var5 == HOLL && var6 == DG ) || ( var3 == MH && var5 == IRLA && var6 == DD ) || ( var3 == MH && var5 == ISLA && var6 == DG ) || ( var3 == MH && var5 == ITAL && var6 == DG ) || ( var3 == MH && var5 == NORV && var6 == DG ) || ( var3 == MH && var5 == PORT && var6 == DG ) || ( var3 == MH && var5 == SUED && var6 == DG ) || ( var3 == MH && var5 == SUIS && var6 == DG ) || ( var3 == MH && var5 == YOUG && var6 == DG ) || ( var3 == MH && var5 == MAGH && var6 == DG ) || ( var3 == MH && var5 == TURQ && var6 == DG ) || ( var3 == MH && var5 == ARGE && var6 == DG ) || ( var3 == MH && var5 == BRES && var6 == DG ) || ( var3 == MH && var5 == CHIL && var6 == DG ) || ( var3 == MH && var5 == COLO && var6 == DG ) || ( var3 == MH && var5 == AFSU && var6 == DD ) || ( var3 == MH && var5 == MARO && var6 == DG ) || ( var3 == MH && var5 == ISRA && var6 == DG ) || ( var3 == MH && var5 == HONG && var6 == DG ) || ( var3 == MH && var5 == TCHE && var6 == DG ) || ( var3 == MH && var5 == POLO && var6 == DG ) || ( var3 == MH && var5 == SLVQ && var6 == DG ) || ( var3 == MH && var5 == JAPO && var6 == DG ) || ( var3 == MH && var5 == TAIW && var6 == DG ) || ( var3 == MH && var5 == AUST && var6 == DD ) || ( var3 == MH && var5 == URUG && var6 == DG ) || ( var3 == MH && var5 == DAIB && var6 == DG ) || ( var3 == MH && var5 == DAIC && var6 == DG ) || ( var3 == MH && var5 == DAID && var6 == DD ) || ( var3 == MH && var5 == DAIF && var6 == DG ) || ( var3 == MH && var5 == EUOR && var6 == DG ) || ( var3 == MH && var5 == CETI && var6 == DG ) || ( var3 == MG && var5 == FRAN && var6 == DG ) || ( var3 == MG && var5 == DOTO && var6 == DG ) || ( var3 == MG && var5 == ALLE && var6 == DG ) || ( var3 == MG && var5 == AUTR && var6 == DG ) || ( var3 == MG && var5 == BELG && var6 == DG ) || ( var3 == MG && var5 == DANE && var6 == DG ) || ( var3 == MG && var5 == ESPA && var6 == DG ) || ( var3 == MG && var5 == FINL && var6 == DG ) || ( var3 == MG && var5 == GRBR && var6 == DD ) || ( var3 == MG && var5 == GREC && var6 == DG ) || ( var3 == MG && var5 == HOLL && var6 == DG ) || ( var3 == MG && var5 == IRLA && var6 == DD ) || ( var3 == MG && var5 == ISLA && var6 == DG ) || ( var3 == MG && var5 == ITAL && var6 == DG ) || ( var3 == MG && var5 == NORV && var6 == DG ) || ( var3 == MG && var5 == PORT && var6 == DG ) || ( var3 == MG && var5 == SUED && var6 == DG ) || ( var3 == MG && var5 == SUIS && var6 == DG ) || ( var3 == MG && var5 == YOUG && var6 == DG ) || ( var3 == MG && var5 == MAGH && var6 == DG ) || ( var3 == MG && var5 == TURQ && var6 == DG ) || ( var3 == MG && var5 == ARGE && var6 == DG ) || ( var3 == MG && var5 == BRES && var6 == DG ) || ( var3 == MG && var5 == CHIL && var6 == DG ) || ( var3 == MG && var5 == COLO && var6 == DG ) || ( var3 == MG && var5 == AFSU && var6 == DD ) || ( var3 == MG && var5 == MARO && var6 == DG ) || ( var3 == MG && var5 == ISRA && var6 == DG ) || ( var3 == MG && var5 == HONG && var6 == DG ) || ( var3 == MG && var5 == TCHE && var6 == DG ) || ( var3 == MG && var5 == POLO && var6 == DG ) || ( var3 == MG && var5 == SLVQ && var6 == DG ) || ( var3 == MG && var5 == JAPO && var6 == DD ) || ( var3 == MG && var5 == TAIW && var6 == DG ) || ( var3 == MG && var5 == AUST && var6 == DD ) || ( var3 == MG && var5 == URUG && var6 == DG ) || ( var3 == MG && var5 == DAIB && var6 == DG ) || ( var3 == MG && var5 == DAIC && var6 == DG ) || ( var3 == MG && var5 == DAID && var6 == DD ) || ( var3 == MG && var5 == DAIF && var6 == DG ) || ( var3 == MG && var5 == EUOR && var6 == DG ) || ( var3 == MG && var5 == CETI && var6 == DG ) || ( var3 == MY && var5 == FRAN && var6 == DG ) || ( var3 == MY && var5 == DOTO && var6 == DG ) || ( var3 == MY && var5 == ALLE && var6 == DG ) || ( var3 == MY && var5 == AUTR && var6 == DG ) || ( var3 == MY && var5 == BELG && var6 == DG ) || ( var3 == MY && var5 == DANE && var6 == DG ) || ( var3 == MY && var5 == ESPA && var6 == DG ) || ( var3 == MY && var5 == FINL && var6 == DG ) || ( var3 == MY && var5 == GRBR && var6 == DD ) || ( var3 == MY && var5 == GREC && var6 == DG ) || ( var3 == MY && var5 == HOLL && var6 == DG ) || ( var3 == MY && var5 == IRLA && var6 == DD ) || ( var3 == MY && var5 == ISLA && var6 == DG ) || ( var3 == MY && var5 == ITAL && var6 == DG ) || ( var3 == MY && var5 == NORV && var6 == DG ) || ( var3 == MY && var5 == PORT && var6 == DG ) || ( var3 == MY && var5 == SUED && var6 == DG ) || ( var3 == MY && var5 == SUIS && var6 == DG ) || ( var3 == MY && var5 == YOUG && var6 == DG ) || ( var3 == MY && var5 == MAGH && var6 == DG ) || ( var3 == MY && var5 == TURQ && var6 == DG ) || ( var3 == MY && var5 == ARGE && var6 == DG ) || ( var3 == MY && var5 == BRES && var6 == DG ) || ( var3 == MY && var5 == CHIL && var6 == DG ) || ( var3 == MY && var5 == COLO && var6 == DG ) || ( var3 == MY && var5 == AFSU && var6 == DD ) || ( var3 == MY && var5 == MARO && var6 == DG ) || ( var3 == MY && var5 == ISRA && var6 == DG ) || ( var3 == MY && var5 == HONG && var6 == DG ) || ( var3 == MY && var5 == TCHE && var6 == DG ) || ( var3 == MY && var5 == POLO && var6 == DG ) || ( var3 == MY && var5 == SLVQ && var6 == DG ) || ( var3 == MY && var5 == JAPO && var6 == DD ) || ( var3 == MY && var5 == TAIW && var6 == DG ) || ( var3 == MY && var5 == AUST && var6 == DD ) || ( var3 == MY && var5 == URUG && var6 == DG ) || ( var3 == MY && var5 == DAIB && var6 == DG ) || ( var3 == MY && var5 == DAIC && var6 == DG ) || ( var3 == MY && var5 == DAID && var6 == DD ) || ( var3 == MY && var5 == DAIF && var6 == DG ) || ( var3 == MY && var5 == EUOR && var6 == DG ) || ( var3 == MY && var5 == CETI && var6 == DG ) || ( var3 == NM2K && var5 == FRAN && var6 == DG ) || ( var3 == NM2K && var5 == DOTO && var6 == DG ) || ( var3 == NM2K && var5 == ALLE && var6 == DG ) || ( var3 == NM2K && var5 == AUTR && var6 == DG ) || ( var3 == NM2K && var5 == BELG && var6 == DG ) || ( var3 == NM2K && var5 == DANE && var6 == DG ) || ( var3 == NM2K && var5 == ESPA && var6 == DG ) || ( var3 == NM2K && var5 == FINL && var6 == DG ) || ( var3 == NM2K && var5 == GRBR && var6 == DD ) || ( var3 == NM2K && var5 == GREC && var6 == DG ) || ( var3 == NM2K && var5 == HOLL && var6 == DG ) || ( var3 == NM2K && var5 == IRLA && var6 == DD ) || ( var3 == NM2K && var5 == ISLA && var6 == DG ) || ( var3 == NM2K && var5 == ITAL && var6 == DG ) || ( var3 == NM2K && var5 == NORV && var6 == DG ) || ( var3 == NM2K && var5 == PORT && var6 == DG ) || ( var3 == NM2K && var5 == SUED && var6 == DG ) || ( var3 == NM2K && var5 == SUIS && var6 == DG ) || ( var3 == NM2K && var5 == YOUG && var6 == DG ) || ( var3 == NM2K && var5 == MAGH && var6 == DG ) || ( var3 == NM2K && var5 == TURQ && var6 == DG ) || ( var3 == NM2K && var5 == ARGE && var6 == DG ) || ( var3 == NM2K && var5 == BRES && var6 == DG ) || ( var3 == NM2K && var5 == CHIL && var6 == DG ) || ( var3 == NM2K && var5 == COLO && var6 == DG ) || ( var3 == NM2K && var5 == AFSU && var6 == DD ) || ( var3 == NM2K && var5 == MARO && var6 == DG ) || ( var3 == NM2K && var5 == ISRA && var6 == DG ) || ( var3 == NM2K && var5 == HONG && var6 == DG ) || ( var3 == NM2K && var5 == TCHE && var6 == DG ) || ( var3 == NM2K && var5 == POLO && var6 == DG ) || ( var3 == NM2K && var5 == SLVQ && var6 == DG ) || ( var3 == NM2K && var5 == JAPO && var6 == DD ) || ( var3 == NM2K && var5 == TAIW && var6 == DG ) || ( var3 == NM2K && var5 == AUST && var6 == DD ) || ( var3 == NM2K && var5 == URUG && var6 == DG ) || ( var3 == NM2K && var5 == DAIB && var6 == DG ) || ( var3 == NM2K && var5 == DAIC && var6 == DG ) || ( var3 == NM2K && var5 == DAID && var6 == DD ) || ( var3 == NM2K && var5 == DAIF && var6 == DG ) || ( var3 == NM2K && var5 == EUOR && var6 == DG ) || ( var3 == NM2K && var5 == CETI && var6 == DG ) || ( var3 == NM0C && var5 == FRAN && var6 == DG ) || ( var3 == NM0C && var5 == DOTO && var6 == DG ) || ( var3 == NM0C && var5 == ALLE && var6 == DG ) || ( var3 == NM0C && var5 == AUTR && var6 == DG ) || ( var3 == NM0C && var5 == BELG && var6 == DG ) || ( var3 == NM0C && var5 == DANE && var6 == DG ) || ( var3 == NM0C && var5 == ESPA && var6 == DG ) || ( var3 == NM0C && var5 == FINL && var6 == DG ) || ( var3 == NM0C && var5 == GRBR && var6 == DD ) || ( var3 == NM0C && var5 == GREC && var6 == DG ) || ( var3 == NM0C && var5 == HOLL && var6 == DG ) || ( var3 == NM0C && var5 == IRLA && var6 == DD ) || ( var3 == NM0C && var5 == ISLA && var6 == DG ) || ( var3 == NM0C && var5 == ITAL && var6 == DG ) || ( var3 == NM0C && var5 == NORV && var6 == DG ) || ( var3 == NM0C && var5 == PORT && var6 == DG ) || ( var3 == NM0C && var5 == SUED && var6 == DG ) || ( var3 == NM0C && var5 == SUIS && var6 == DG ) || ( var3 == NM0C && var5 == YOUG && var6 == DG ) || ( var3 == NM0C && var5 == MAGH && var6 == DG ) || ( var3 == NM0C && var5 == TURQ && var6 == DG ) || ( var3 == NM0C && var5 == ARGE && var6 == DG ) || ( var3 == NM0C && var5 == BRES && var6 == DG ) || ( var3 == NM0C && var5 == CHIL && var6 == DG ) || ( var3 == NM0C && var5 == COLO && var6 == DG ) || ( var3 == NM0C && var5 == AFSU && var6 == DD ) || ( var3 == NM0C && var5 == MARO && var6 == DG ) || ( var3 == NM0C && var5 == ISRA && var6 == DG ) || ( var3 == NM0C && var5 == HONG && var6 == DG ) || ( var3 == NM0C && var5 == TCHE && var6 == DG ) || ( var3 == NM0C && var5 == POLO && var6 == DG ) || ( var3 == NM0C && var5 == SLVQ && var6 == DG ) || ( var3 == NM0C && var5 == JAPO && var6 == DD ) || ( var3 == NM0C && var5 == TAIW && var6 == DG ) || ( var3 == NM0C && var5 == AUST && var6 == DD ) || ( var3 == NM0C && var5 == URUG && var6 == DG ) || ( var3 == NM0C && var5 == DAIB && var6 == DG ) || ( var3 == NM0C && var5 == DAIC && var6 == DG ) || ( var3 == NM0C && var5 == DAID && var6 == DD ) || ( var3 == NM0C && var5 == DAIF && var6 == DG ) || ( var3 == NM0C && var5 == EUOR && var6 == DG ) || ( var3 == NM0C && var5 == CETI && var6 == DG ) || ( var3 == ND1G && var5 == FRAN && var6 == DG ) || ( var3 == ND1G && var5 == DOTO && var6 == DG ) || ( var3 == ND1G && var5 == ALLE && var6 == DG ) || ( var3 == ND1G && var5 == AUTR && var6 == DG ) || ( var3 == ND1G && var5 == BELG && var6 == DG ) || ( var3 == ND1G && var5 == DANE && var6 == DG ) || ( var3 == ND1G && var5 == ESPA && var6 == DG ) || ( var3 == ND1G && var5 == FINL && var6 == DG ) || ( var3 == ND1G && var5 == GRBR && var6 == DD ) || ( var3 == ND1G && var5 == GREC && var6 == DG ) || ( var3 == ND1G && var5 == HOLL && var6 == DG ) || ( var3 == ND1G && var5 == IRLA && var6 == DD ) || ( var3 == ND1G && var5 == ISLA && var6 == DG ) || ( var3 == ND1G && var5 == ITAL && var6 == DG ) || ( var3 == ND1G && var5 == NORV && var6 == DG ) || ( var3 == ND1G && var5 == PORT && var6 == DG ) || ( var3 == ND1G && var5 == SUED && var6 == DG ) || ( var3 == ND1G && var5 == SUIS && var6 == DG ) || ( var3 == ND1G && var5 == YOUG && var6 == DG ) || ( var3 == ND1G && var5 == MAGH && var6 == DG ) || ( var3 == ND1G && var5 == TURQ && var6 == DG ) || ( var3 == ND1G && var5 == ARGE && var6 == DG ) || ( var3 == ND1G && var5 == BRES && var6 == DG ) || ( var3 == ND1G && var5 == CHIL && var6 == DG ) || ( var3 == ND1G && var5 == COLO && var6 == DG ) || ( var3 == ND1G && var5 == AFSU && var6 == DD ) || ( var3 == ND1G && var5 == MARO && var6 == DG ) || ( var3 == ND1G && var5 == ISRA && var6 == DG ) || ( var3 == ND1G && var5 == HONG && var6 == DG ) || ( var3 == ND1G && var5 == TCHE && var6 == DG ) || ( var3 == ND1G && var5 == POLO && var6 == DG ) || ( var3 == ND1G && var5 == SLVQ && var6 == DG ) || ( var3 == ND1G && var5 == JAPO && var6 == DD ) || ( var3 == ND1G && var5 == TAIW && var6 == DG ) || ( var3 == ND1G && var5 == AUST && var6 == DD ) || ( var3 == ND1G && var5 == URUG && var6 == DG ) || ( var3 == ND1G && var5 == DAIB && var6 == DG ) || ( var3 == ND1G && var5 == DAIC && var6 == DG ) || ( var3 == ND1G && var5 == DAID && var6 == DD ) || ( var3 == ND1G && var5 == DAIF && var6 == DG ) || ( var3 == ND1G && var5 == EUOR && var6 == DG ) || ( var3 == ND1G && var5 == CETI && var6 == DG ) ) ); ( ( ( var5 == FRAN && var33 == KM ) || ( var5 == DOTO && var33 == KM ) || ( var5 == ALLE && var33 == KM ) || ( var5 == AUTR && var33 == KM ) || ( var5 == BELG && var33 == KM ) || ( var5 == DANE && var33 == KM ) || ( var5 == ESPA && var33 == KM ) || ( var5 == FINL && var33 == KM ) || ( var5 == GRBR && var33 == MILES ) || ( var5 == GREC && var33 == KM ) || ( var5 == HOLL && var33 == KM ) || ( var5 == IRLA && var33 == MILES ) || ( var5 == ISLA && var33 == KM ) || ( var5 == ITAL && var33 == KM ) || ( var5 == NORV && var33 == KM ) || ( var5 == PORT && var33 == KM ) || ( var5 == SUED && var33 == KM ) || ( var5 == SUIS && var33 == KM ) || ( var5 == YOUG && var33 == KM ) || ( var5 == MAGH && var33 == KM ) || ( var5 == TURQ && var33 == KM ) || ( var5 == ARGE && var33 == KM ) || ( var5 == BRES && var33 == KM ) || ( var5 == CHIL && var33 == KM ) || ( var5 == COLO && var33 == KM ) || ( var5 == AFSU && var33 == KM ) || ( var5 == MARO && var33 == KM ) || ( var5 == ISRA && var33 == KM ) || ( var5 == HONG && var33 == KM ) || ( var5 == TCHE && var33 == KM ) || ( var5 == POLO && var33 == KM ) || ( var5 == SLVQ && var33 == KM ) || ( var5 == JAPO && var33 == KM ) || ( var5 == TAIW && var33 == KM ) || ( var5 == AUST && var33 == KM ) || ( var5 == URUG && var33 == KM ) || ( var5 == DAIB && var33 == KM ) || ( var5 == DAIC && var33 == KM ) || ( var5 == DAID && var33 == KM ) || ( var5 == DAIF && var33 == KM ) || ( var5 == EUOR && var33 == KM ) || ( var5 == CETI && var33 == KM ) ) ); ( ( ( var1 == S64 && var5 == FRAN && var42 == PHAN01 ) || ( var1 == S64 && var5 == DOTO && var42 == PHAN01 ) || ( var1 == S64 && var5 == ALLE && var42 == PHAN02 ) || ( var1 == S64 && var5 == AUTR && var42 == PHAN02 ) || ( var1 == S64 && var5 == BELG && var42 == PHAN02 ) || ( var1 == S64 && var5 == DANE && var42 == PHAN02 ) || ( var1 == S64 && var5 == ESPA && var42 == PHAN02 ) || ( var1 == S64 && var5 == FINL && var42 == PHAN02 ) || ( var1 == S64 && var5 == GRBR && var42 == PHAN02 ) || ( var1 == S64 && var5 == GREC && var42 == PHAN02 ) || ( var1 == S64 && var5 == HOLL && var42 == PHAN02 ) || ( var1 == S64 && var5 == IRLA && var42 == PHAN02 ) || ( var1 == S64 && var5 == ISLA && var42 == PHAN02 ) || ( var1 == S64 && var5 == ITAL && var42 == SSPHAN ) || ( var1 == S64 && var5 == NORV && var42 == PHAN02 ) || ( var1 == S64 && var5 == PORT && var42 == SSPHAN ) || ( var1 == S64 && var5 == SUED && var42 == PHAN02 ) || ( var1 == S64 && var5 == SUIS && var42 == PHAN02 ) || ( var1 == S64 && var5 == YOUG && var42 == PHAN02 ) || ( var1 == S64 && var5 == MAGH && var42 == PHAN02 ) || ( var1 == S64 && var5 == TURQ && var42 == PHAN02 ) || ( var1 == S64 && var5 == ARGE && var42 == PHAN02 ) || ( var1 == S64 && var5 == BRES && var42 == PHAN02 ) || ( var1 == S64 && var5 == CHIL && var42 == PHAN02 ) || ( var1 == S64 && var5 == COLO && var42 == PHAN02 ) || ( var1 == S64 && var5 == AFSU && var42 == PHAN02 ) || ( var1 == S64 && var5 == MARO && var42 == PHAN02 ) || ( var1 == S64 && var5 == ISRA && var42 == PHAN02 ) || ( var1 == S64 && var5 == HONG && var42 == PHAN02 ) || ( var1 == S64 && var5 == TCHE && var42 == PHAN02 ) || ( var1 == S64 && var5 == POLO && var42 == PHAN02 ) || ( var1 == S64 && var5 == SLVQ && var42 == PHAN02 ) || ( var1 == S64 && var5 == JAPO && var42 == SSPHAN ) || ( var1 == S64 && var5 == TAIW && var42 == PHAN02 ) || ( var1 == S64 && var5 == AUST && var42 == PHAN02 ) || ( var1 == S64 && var5 == URUG && var42 == PHAN02 ) || ( var1 == S64 && var5 == DAIB && var42 == PHAN02 ) || ( var1 == S64 && var5 == DAIC && var42 == PHAN02 ) || ( var1 == S64 && var5 == DAID && var42 == PHAN02 ) || ( var1 == S64 && var5 == DAIF && var42 == PHAN02 ) || ( var1 == S64 && var5 == EUOR && var42 == PHAN01 ) || ( var1 == S64 && var5 == CETI && var42 == PHAN02 ) || ( var1 == L64 && var5 == FRAN && var42 == PHAN01 ) || ( var1 == L64 && var5 == DOTO && var42 == PHAN01 ) || ( var1 == L64 && var5 == ALLE && var42 == PHAN02 ) || ( var1 == L64 && var5 == AUTR && var42 == PHAN02 ) || ( var1 == L64 && var5 == BELG && var42 == PHAN02 ) || ( var1 == L64 && var5 == DANE && var42 == PHAN02 ) || ( var1 == L64 && var5 == ESPA && var42 == PHAN02 ) || ( var1 == L64 && var5 == FINL && var42 == PHAN02 ) || ( var1 == L64 && var5 == GRBR && var42 == PHAN02 ) || ( var1 == L64 && var5 == GREC && var42 == PHAN02 ) || ( var1 == L64 && var5 == HOLL && var42 == PHAN02 ) || ( var1 == L64 && var5 == IRLA && var42 == PHAN02 ) || ( var1 == L64 && var5 == ISLA && var42 == PHAN02 ) || ( var1 == L64 && var5 == ITAL && var42 == SSPHAN ) || ( var1 == L64 && var5 == NORV && var42 == PHAN02 ) || ( var1 == L64 && var5 == PORT && var42 == SSPHAN ) || ( var1 == L64 && var5 == SUED && var42 == PHAN02 ) || ( var1 == L64 && var5 == SUIS && var42 == PHAN02 ) || ( var1 == L64 && var5 == YOUG && var42 == PHAN02 ) || ( var1 == L64 && var5 == MAGH && var42 == PHAN02 ) || ( var1 == L64 && var5 == TURQ && var42 == PHAN02 ) || ( var1 == L64 && var5 == ARGE && var42 == PHAN02 ) || ( var1 == L64 && var5 == BRES && var42 == PHAN02 ) || ( var1 == L64 && var5 == CHIL && var42 == PHAN02 ) || ( var1 == L64 && var5 == COLO && var42 == PHAN02 ) || ( var1 == L64 && var5 == AFSU && var42 == PHAN02 ) || ( var1 == L64 && var5 == MARO && var42 == PHAN02 ) || ( var1 == L64 && var5 == ISRA && var42 == PHAN02 ) || ( var1 == L64 && var5 == HONG && var42 == PHAN02 ) || ( var1 == L64 && var5 == TCHE && var42 == PHAN02 ) || ( var1 == L64 && var5 == POLO && var42 == PHAN02 ) || ( var1 == L64 && var5 == SLVQ && var42 == PHAN02 ) || ( var1 == L64 && var5 == JAPO && var42 == SSPHAN ) || ( var1 == L64 && var5 == TAIW && var42 == PHAN02 ) || ( var1 == L64 && var5 == AUST && var42 == PHAN02 ) || ( var1 == L64 && var5 == URUG && var42 == PHAN02 ) || ( var1 == L64 && var5 == DAIB && var42 == PHAN02 ) || ( var1 == L64 && var5 == DAIC && var42 == PHAN02 ) || ( var1 == L64 && var5 == DAID && var42 == PHAN02 ) || ( var1 == L64 && var5 == DAIF && var42 == PHAN02 ) || ( var1 == L64 && var5 == EUOR && var42 == PHAN01 ) || ( var1 == L64 && var5 == CETI && var42 == PHAN02 ) || ( var1 == E64 && var5 == FRAN && var42 == SSPHAN ) || ( var1 == E64 && var5 == DOTO && var42 == SSPHAN ) || ( var1 == E64 && var5 == ALLE && var42 == SSPHAN ) || ( var1 == E64 && var5 == AUTR && var42 == SSPHAN ) || ( var1 == E64 && var5 == BELG && var42 == SSPHAN ) || ( var1 == E64 && var5 == DANE && var42 == SSPHAN ) || ( var1 == E64 && var5 == ESPA && var42 == SSPHAN ) || ( var1 == E64 && var5 == FINL && var42 == PHAN02 ) || ( var1 == E64 && var5 == GRBR && var42 == SSPHAN ) || ( var1 == E64 && var5 == GREC && var42 == SSPHAN ) || ( var1 == E64 && var5 == HOLL && var42 == SSPHAN ) || ( var1 == E64 && var5 == IRLA && var42 == SSPHAN ) || ( var1 == E64 && var5 == ISLA && var42 == SSPHAN ) || ( var1 == E64 && var5 == ITAL && var42 == SSPHAN ) || ( var1 == E64 && var5 == NORV && var42 == PHAN02 ) || ( var1 == E64 && var5 == PORT && var42 == SSPHAN ) || ( var1 == E64 && var5 == SUED && var42 == PHAN02 ) || ( var1 == E64 && var5 == SUIS && var42 == SSPHAN ) || ( var1 == E64 && var5 == YOUG && var42 == SSPHAN ) || ( var1 == E64 && var5 == MAGH && var42 == SSPHAN ) || ( var1 == E64 && var5 == TURQ && var42 == SSPHAN ) || ( var1 == E64 && var5 == ARGE && var42 == SSPHAN ) || ( var1 == E64 && var5 == BRES && var42 == SSPHAN ) || ( var1 == E64 && var5 == CHIL && var42 == SSPHAN ) || ( var1 == E64 && var5 == COLO && var42 == SSPHAN ) || ( var1 == E64 && var5 == AFSU && var42 == SSPHAN ) || ( var1 == E64 && var5 == MARO && var42 == SSPHAN ) || ( var1 == E64 && var5 == ISRA && var42 == SSPHAN ) || ( var1 == E64 && var5 == HONG && var42 == SSPHAN ) || ( var1 == E64 && var5 == TCHE && var42 == SSPHAN ) || ( var1 == E64 && var5 == POLO && var42 == SSPHAN ) || ( var1 == E64 && var5 == SLVQ && var42 == SSPHAN ) || ( var1 == E64 && var5 == JAPO && var42 == SSPHAN ) || ( var1 == E64 && var5 == TAIW && var42 == SSPHAN ) || ( var1 == E64 && var5 == AUST && var42 == SSPHAN ) || ( var1 == E64 && var5 == URUG && var42 == SSPHAN ) || ( var1 == E64 && var5 == DAIB && var42 == SSPHAN ) || ( var1 == E64 && var5 == DAIC && var42 == SSPHAN ) || ( var1 == E64 && var5 == DAID && var42 == SSPHAN ) || ( var1 == E64 && var5 == DAIF && var42 == SSPHAN ) || ( var1 == E64 && var5 == EUOR && var42 == SSPHAN ) || ( var1 == E64 && var5 == CETI && var42 == SSPHAN ) || ( var1 == D64 && var5 == FRAN && var42 == PHAN01 ) || ( var1 == D64 && var5 == DOTO && var42 == PHAN01 ) || ( var1 == D64 && var5 == ALLE && var42 == PHAN02 ) || ( var1 == D64 && var5 == AUTR && var42 == PHAN02 ) || ( var1 == D64 && var5 == BELG && var42 == PHAN02 ) || ( var1 == D64 && var5 == DANE && var42 == PHAN02 ) || ( var1 == D64 && var5 == ESPA && var42 == PHAN02 ) || ( var1 == D64 && var5 == FINL && var42 == PHAN02 ) || ( var1 == D64 && var5 == GRBR && var42 == PHAN02 ) || ( var1 == D64 && var5 == GREC && var42 == PHAN02 ) || ( var1 == D64 && var5 == HOLL && var42 == PHAN02 ) || ( var1 == D64 && var5 == IRLA && var42 == PHAN02 ) || ( var1 == D64 && var5 == ISLA && var42 == PHAN02 ) || ( var1 == D64 && var5 == ITAL && var42 == SSPHAN ) || ( var1 == D64 && var5 == NORV && var42 == PHAN02 ) || ( var1 == D64 && var5 == PORT && var42 == SSPHAN ) || ( var1 == D64 && var5 == SUED && var42 == PHAN02 ) || ( var1 == D64 && var5 == SUIS && var42 == PHAN02 ) || ( var1 == D64 && var5 == YOUG && var42 == PHAN02 ) || ( var1 == D64 && var5 == MAGH && var42 == PHAN02 ) || ( var1 == D64 && var5 == TURQ && var42 == PHAN02 ) || ( var1 == D64 && var5 == ARGE && var42 == PHAN02 ) || ( var1 == D64 && var5 == BRES && var42 == PHAN02 ) || ( var1 == D64 && var5 == CHIL && var42 == PHAN02 ) || ( var1 == D64 && var5 == COLO && var42 == PHAN02 ) || ( var1 == D64 && var5 == AFSU && var42 == PHAN02 ) || ( var1 == D64 && var5 == MARO && var42 == PHAN02 ) || ( var1 == D64 && var5 == ISRA && var42 == PHAN02 ) || ( var1 == D64 && var5 == HONG && var42 == PHAN02 ) || ( var1 == D64 && var5 == TCHE && var42 == PHAN02 ) || ( var1 == D64 && var5 == POLO && var42 == PHAN02 ) || ( var1 == D64 && var5 == SLVQ && var42 == PHAN02 ) || ( var1 == D64 && var5 == JAPO && var42 == SSPHAN ) || ( var1 == D64 && var5 == TAIW && var42 == PHAN02 ) || ( var1 == D64 && var5 == AUST && var42 == PHAN02 ) || ( var1 == D64 && var5 == URUG && var42 == PHAN02 ) || ( var1 == D64 && var5 == DAIB && var42 == PHAN02 ) || ( var1 == D64 && var5 == DAIC && var42 == PHAN02 ) || ( var1 == D64 && var5 == DAID && var42 == PHAN02 ) || ( var1 == D64 && var5 == DAIF && var42 == PHAN02 ) || ( var1 == D64 && var5 == EUOR && var42 == PHAN01 ) || ( var1 == D64 && var5 == CETI && var42 == PHAN02 ) || ( var1 == J64 && var5 == FRAN && var42 == PHAN01 ) || ( var1 == J64 && var5 == DOTO && var42 == PHAN01 ) || ( var1 == J64 && var5 == ALLE && var42 == PHAN02 ) || ( var1 == J64 && var5 == AUTR && var42 == PHAN02 ) || ( var1 == J64 && var5 == BELG && var42 == PHAN02 ) || ( var1 == J64 && var5 == DANE && var42 == PHAN02 ) || ( var1 == J64 && var5 == ESPA && var42 == PHAN02 ) || ( var1 == J64 && var5 == FINL && var42 == PHAN02 ) || ( var1 == J64 && var5 == GRBR && var42 == PHAN02 ) || ( var1 == J64 && var5 == GREC && var42 == PHAN02 ) || ( var1 == J64 && var5 == HOLL && var42 == PHAN02 ) || ( var1 == J64 && var5 == IRLA && var42 == PHAN02 ) || ( var1 == J64 && var5 == ISLA && var42 == PHAN02 ) || ( var1 == J64 && var5 == ITAL && var42 == SSPHAN ) || ( var1 == J64 && var5 == NORV && var42 == PHAN02 ) || ( var1 == J64 && var5 == PORT && var42 == SSPHAN ) || ( var1 == J64 && var5 == SUED && var42 == PHAN02 ) || ( var1 == J64 && var5 == SUIS && var42 == PHAN02 ) || ( var1 == J64 && var5 == YOUG && var42 == PHAN02 ) || ( var1 == J64 && var5 == MAGH && var42 == PHAN02 ) || ( var1 == J64 && var5 == TURQ && var42 == PHAN02 ) || ( var1 == J64 && var5 == ARGE && var42 == PHAN02 ) || ( var1 == J64 && var5 == BRES && var42 == PHAN02 ) || ( var1 == J64 && var5 == CHIL && var42 == PHAN02 ) || ( var1 == J64 && var5 == COLO && var42 == PHAN02 ) || ( var1 == J64 && var5 == AFSU && var42 == PHAN02 ) || ( var1 == J64 && var5 == MARO && var42 == PHAN02 ) || ( var1 == J64 && var5 == ISRA && var42 == PHAN02 ) || ( var1 == J64 && var5 == HONG && var42 == PHAN02 ) || ( var1 == J64 && var5 == TCHE && var42 == PHAN02 ) || ( var1 == J64 && var5 == POLO && var42 == PHAN02 ) || ( var1 == J64 && var5 == SLVQ && var42 == PHAN02 ) || ( var1 == J64 && var5 == JAPO && var42 == SSPHAN ) || ( var1 == J64 && var5 == TAIW && var42 == PHAN02 ) || ( var1 == J64 && var5 == AUST && var42 == PHAN02 ) || ( var1 == J64 && var5 == URUG && var42 == PHAN02 ) || ( var1 == J64 && var5 == DAIB && var42 == PHAN02 ) || ( var1 == J64 && var5 == DAIC && var42 == PHAN02 ) || ( var1 == J64 && var5 == DAID && var42 == PHAN02 ) || ( var1 == J64 && var5 == DAIF && var42 == PHAN02 ) || ( var1 == J64 && var5 == EUOR && var42 == PHAN01 ) || ( var1 == J64 && var5 == CETI && var42 == PHAN02 ) || ( var1 == B64 && var5 == FRAN && var42 == PHAN01 ) || ( var1 == B64 && var5 == DOTO && var42 == PHAN01 ) || ( var1 == B64 && var5 == ALLE && var42 == PHAN02 ) || ( var1 == B64 && var5 == AUTR && var42 == PHAN02 ) || ( var1 == B64 && var5 == BELG && var42 == PHAN02 ) || ( var1 == B64 && var5 == DANE && var42 == PHAN02 ) || ( var1 == B64 && var5 == ESPA && var42 == PHAN02 ) || ( var1 == B64 && var5 == FINL && var42 == PHAN02 ) || ( var1 == B64 && var5 == GRBR && var42 == PHAN02 ) || ( var1 == B64 && var5 == GREC && var42 == PHAN02 ) || ( var1 == B64 && var5 == HOLL && var42 == PHAN02 ) || ( var1 == B64 && var5 == IRLA && var42 == PHAN02 ) || ( var1 == B64 && var5 == ISLA && var42 == PHAN02 ) || ( var1 == B64 && var5 == ITAL && var42 == SSPHAN ) || ( var1 == B64 && var5 == NORV && var42 == PHAN02 ) || ( var1 == B64 && var5 == PORT && var42 == SSPHAN ) || ( var1 == B64 && var5 == SUED && var42 == PHAN02 ) || ( var1 == B64 && var5 == SUIS && var42 == PHAN02 ) || ( var1 == B64 && var5 == YOUG && var42 == PHAN02 ) || ( var1 == B64 && var5 == MAGH && var42 == PHAN02 ) || ( var1 == B64 && var5 == TURQ && var42 == PHAN02 ) || ( var1 == B64 && var5 == ARGE && var42 == PHAN02 ) || ( var1 == B64 && var5 == BRES && var42 == PHAN02 ) || ( var1 == B64 && var5 == CHIL && var42 == PHAN02 ) || ( var1 == B64 && var5 == COLO && var42 == PHAN02 ) || ( var1 == B64 && var5 == AFSU && var42 == PHAN02 ) || ( var1 == B64 && var5 == MARO && var42 == PHAN02 ) || ( var1 == B64 && var5 == ISRA && var42 == PHAN02 ) || ( var1 == B64 && var5 == HONG && var42 == PHAN02 ) || ( var1 == B64 && var5 == TCHE && var42 == PHAN02 ) || ( var1 == B64 && var5 == POLO && var42 == PHAN02 ) || ( var1 == B64 && var5 == SLVQ && var42 == PHAN02 ) || ( var1 == B64 && var5 == JAPO && var42 == SSPHAN ) || ( var1 == B64 && var5 == TAIW && var42 == PHAN02 ) || ( var1 == B64 && var5 == AUST && var42 == PHAN02 ) || ( var1 == B64 && var5 == URUG && var42 == PHAN02 ) || ( var1 == B64 && var5 == DAIB && var42 == PHAN02 ) || ( var1 == B64 && var5 == DAIC && var42 == PHAN02 ) || ( var1 == B64 && var5 == DAID && var42 == PHAN02 ) || ( var1 == B64 && var5 == DAIF && var42 == PHAN02 ) || ( var1 == B64 && var5 == EUOR && var42 == PHAN01 ) || ( var1 == B64 && var5 == CETI && var42 == PHAN02 ) || ( var1 == F64 && var5 == FRAN && var42 == PHAN01 ) || ( var1 == F64 && var5 == DOTO && var42 == PHAN01 ) || ( var1 == F64 && var5 == ALLE && var42 == PHAN02 ) || ( var1 == F64 && var5 == AUTR && var42 == PHAN02 ) || ( var1 == F64 && var5 == BELG && var42 == PHAN02 ) || ( var1 == F64 && var5 == DANE && var42 == PHAN02 ) || ( var1 == F64 && var5 == ESPA && var42 == PHAN02 ) || ( var1 == F64 && var5 == FINL && var42 == PHAN02 ) || ( var1 == F64 && var5 == GRBR && var42 == PHAN02 ) || ( var1 == F64 && var5 == GREC && var42 == PHAN02 ) || ( var1 == F64 && var5 == HOLL && var42 == PHAN02 ) || ( var1 == F64 && var5 == IRLA && var42 == PHAN02 ) || ( var1 == F64 && var5 == ISLA && var42 == PHAN02 ) || ( var1 == F64 && var5 == ITAL && var42 == SSPHAN ) || ( var1 == F64 && var5 == NORV && var42 == PHAN02 ) || ( var1 == F64 && var5 == PORT && var42 == SSPHAN ) || ( var1 == F64 && var5 == SUED && var42 == PHAN02 ) || ( var1 == F64 && var5 == SUIS && var42 == PHAN02 ) || ( var1 == F64 && var5 == YOUG && var42 == PHAN02 ) || ( var1 == F64 && var5 == MAGH && var42 == PHAN02 ) || ( var1 == F64 && var5 == TURQ && var42 == PHAN02 ) || ( var1 == F64 && var5 == ARGE && var42 == PHAN02 ) || ( var1 == F64 && var5 == BRES && var42 == PHAN02 ) || ( var1 == F64 && var5 == CHIL && var42 == PHAN02 ) || ( var1 == F64 && var5 == COLO && var42 == PHAN02 ) || ( var1 == F64 && var5 == AFSU && var42 == PHAN02 ) || ( var1 == F64 && var5 == MARO && var42 == PHAN02 ) || ( var1 == F64 && var5 == ISRA && var42 == PHAN02 ) || ( var1 == F64 && var5 == HONG && var42 == PHAN02 ) || ( var1 == F64 && var5 == TCHE && var42 == PHAN02 ) || ( var1 == F64 && var5 == POLO && var42 == PHAN02 ) || ( var1 == F64 && var5 == SLVQ && var42 == PHAN02 ) || ( var1 == F64 && var5 == JAPO && var42 == SSPHAN ) || ( var1 == F64 && var5 == TAIW && var42 == PHAN02 ) || ( var1 == F64 && var5 == AUST && var42 == PHAN02 ) || ( var1 == F64 && var5 == URUG && var42 == PHAN02 ) || ( var1 == F64 && var5 == DAIB && var42 == PHAN02 ) || ( var1 == F64 && var5 == DAIC && var42 == PHAN02 ) || ( var1 == F64 && var5 == DAID && var42 == PHAN02 ) || ( var1 == F64 && var5 == DAIF && var42 == PHAN02 ) || ( var1 == F64 && var5 == EUOR && var42 == PHAN01 ) || ( var1 == F64 && var5 == CETI && var42 == PHAN02 ) || ( var1 == K25 && var5 == FRAN && var42 == PHAN01 ) || ( var1 == K25 && var5 == DOTO && var42 == PHAN01 ) || ( var1 == K25 && var5 == ALLE && var42 == PHAN02 ) || ( var1 == K25 && var5 == AUTR && var42 == PHAN02 ) || ( var1 == K25 && var5 == BELG && var42 == PHAN02 ) || ( var1 == K25 && var5 == DANE && var42 == PHAN02 ) || ( var1 == K25 && var5 == ESPA && var42 == PHAN02 ) || ( var1 == K25 && var5 == FINL && var42 == PHAN02 ) || ( var1 == K25 && var5 == GRBR && var42 == PHAN02 ) || ( var1 == K25 && var5 == GREC && var42 == PHAN02 ) || ( var1 == K25 && var5 == HOLL && var42 == PHAN02 ) || ( var1 == K25 && var5 == IRLA && var42 == PHAN02 ) || ( var1 == K25 && var5 == ISLA && var42 == PHAN02 ) || ( var1 == K25 && var5 == ITAL && var42 == SSPHAN ) || ( var1 == K25 && var5 == NORV && var42 == PHAN02 ) || ( var1 == K25 && var5 == PORT && var42 == SSPHAN ) || ( var1 == K25 && var5 == SUED && var42 == PHAN02 ) || ( var1 == K25 && var5 == SUIS && var42 == PHAN02 ) || ( var1 == K25 && var5 == YOUG && var42 == PHAN02 ) || ( var1 == K25 && var5 == MAGH && var42 == PHAN02 ) || ( var1 == K25 && var5 == TURQ && var42 == PHAN02 ) || ( var1 == K25 && var5 == ARGE && var42 == PHAN02 ) || ( var1 == K25 && var5 == BRES && var42 == PHAN02 ) || ( var1 == K25 && var5 == CHIL && var42 == PHAN02 ) || ( var1 == K25 && var5 == COLO && var42 == PHAN02 ) || ( var1 == K25 && var5 == AFSU && var42 == PHAN02 ) || ( var1 == K25 && var5 == MARO && var42 == PHAN02 ) || ( var1 == K25 && var5 == ISRA && var42 == PHAN02 ) || ( var1 == K25 && var5 == HONG && var42 == PHAN02 ) || ( var1 == K25 && var5 == TCHE && var42 == PHAN02 ) || ( var1 == K25 && var5 == POLO && var42 == PHAN02 ) || ( var1 == K25 && var5 == SLVQ && var42 == PHAN02 ) || ( var1 == K25 && var5 == JAPO && var42 == SSPHAN ) || ( var1 == K25 && var5 == TAIW && var42 == PHAN02 ) || ( var1 == K25 && var5 == AUST && var42 == PHAN02 ) || ( var1 == K25 && var5 == URUG && var42 == PHAN02 ) || ( var1 == K25 && var5 == DAIB && var42 == PHAN02 ) || ( var1 == K25 && var5 == DAIC && var42 == PHAN02 ) || ( var1 == K25 && var5 == DAID && var42 == PHAN02 ) || ( var1 == K25 && var5 == DAIF && var42 == PHAN02 ) || ( var1 == K25 && var5 == EUOR && var42 == PHAN01 ) || ( var1 == K25 && var5 == CETI && var42 == PHAN02 ) || ( var1 == V25 && var5 == FRAN && var42 == PHAN01 ) || ( var1 == V25 && var5 == DOTO && var42 == PHAN01 ) || ( var1 == V25 && var5 == ALLE && var42 == PHAN02 ) || ( var1 == V25 && var5 == AUTR && var42 == PHAN02 ) || ( var1 == V25 && var5 == BELG && var42 == PHAN02 ) || ( var1 == V25 && var5 == DANE && var42 == PHAN02 ) || ( var1 == V25 && var5 == ESPA && var42 == PHAN02 ) || ( var1 == V25 && var5 == FINL && var42 == PHAN02 ) || ( var1 == V25 && var5 == GRBR && var42 == PHAN02 ) || ( var1 == V25 && var5 == GREC && var42 == PHAN02 ) || ( var1 == V25 && var5 == HOLL && var42 == PHAN02 ) || ( var1 == V25 && var5 == IRLA && var42 == PHAN02 ) || ( var1 == V25 && var5 == ISLA && var42 == PHAN02 ) || ( var1 == V25 && var5 == ITAL && var42 == SSPHAN ) || ( var1 == V25 && var5 == NORV && var42 == PHAN02 ) || ( var1 == V25 && var5 == PORT && var42 == SSPHAN ) || ( var1 == V25 && var5 == SUED && var42 == PHAN02 ) || ( var1 == V25 && var5 == SUIS && var42 == PHAN02 ) || ( var1 == V25 && var5 == YOUG && var42 == PHAN02 ) || ( var1 == V25 && var5 == MAGH && var42 == PHAN02 ) || ( var1 == V25 && var5 == TURQ && var42 == PHAN02 ) || ( var1 == V25 && var5 == ARGE && var42 == PHAN02 ) || ( var1 == V25 && var5 == BRES && var42 == PHAN02 ) || ( var1 == V25 && var5 == CHIL && var42 == PHAN02 ) || ( var1 == V25 && var5 == COLO && var42 == PHAN02 ) || ( var1 == V25 && var5 == AFSU && var42 == PHAN02 ) || ( var1 == V25 && var5 == MARO && var42 == PHAN02 ) || ( var1 == V25 && var5 == ISRA && var42 == PHAN02 ) || ( var1 == V25 && var5 == HONG && var42 == PHAN02 ) || ( var1 == V25 && var5 == TCHE && var42 == PHAN02 ) || ( var1 == V25 && var5 == POLO && var42 == PHAN02 ) || ( var1 == V25 && var5 == SLVQ && var42 == PHAN02 ) || ( var1 == V25 && var5 == JAPO && var42 == SSPHAN ) || ( var1 == V25 && var5 == TAIW && var42 == PHAN02 ) || ( var1 == V25 && var5 == AUST && var42 == PHAN02 ) || ( var1 == V25 && var5 == URUG && var42 == PHAN02 ) || ( var1 == V25 && var5 == DAIB && var42 == PHAN02 ) || ( var1 == V25 && var5 == DAIC && var42 == PHAN02 ) || ( var1 == V25 && var5 == DAID && var42 == PHAN02 ) || ( var1 == V25 && var5 == DAIF && var42 == PHAN02 ) || ( var1 == V25 && var5 == EUOR && var42 == PHAN01 ) || ( var1 == V25 && var5 == CETI && var42 == PHAN02 ) ) ); ( ( ( var5 == FRAN && var43 == ETPN01 ) || ( var5 == DOTO && var43 == ETPN01 ) || ( var5 == ALLE && var43 == SSETPN ) || ( var5 == AUTR && var43 == SSETPN ) || ( var5 == BELG && var43 == SSETPN ) || ( var5 == DANE && var43 == SSETPN ) || ( var5 == ESPA && var43 == ETPN01 ) || ( var5 == FINL && var43 == SSETPN ) || ( var5 == GRBR && var43 == SSETPN ) || ( var5 == GREC && var43 == SSETPN ) || ( var5 == HOLL && var43 == SSETPN ) || ( var5 == IRLA && var43 == SSETPN ) || ( var5 == ISLA && var43 == SSETPN ) || ( var5 == ITAL && var43 == SSETPN ) || ( var5 == NORV && var43 == SSETPN ) || ( var5 == PORT && var43 == SSETPN ) || ( var5 == SUED && var43 == SSETPN ) || ( var5 == SUIS && var43 == SSETPN ) || ( var5 == YOUG && var43 == SSETPN ) || ( var5 == MAGH && var43 == SSETPN ) || ( var5 == TURQ && var43 == SSETPN ) || ( var5 == ARGE && var43 == SSETPN ) || ( var5 == BRES && var43 == SSETPN ) || ( var5 == CHIL && var43 == ETPN01 ) || ( var5 == COLO && var43 == SSETPN ) || ( var5 == AFSU && var43 == SSETPN ) || ( var5 == MARO && var43 == SSETPN ) || ( var5 == ISRA && var43 == SSETPN ) || ( var5 == HONG && var43 == SSETPN ) || ( var5 == TCHE && var43 == SSETPN ) || ( var5 == POLO && var43 == SSETPN ) || ( var5 == SLVQ && var43 == SSETPN ) || ( var5 == JAPO && var43 == SSETPN ) || ( var5 == TAIW && var43 == SSETPN ) || ( var5 == AUST && var43 == ETPN02 ) || ( var5 == URUG && var43 == SSETPN ) || ( var5 == DAIB && var43 == SSETPN ) || ( var5 == DAIC && var43 == SSETPN ) || ( var5 == DAID && var43 == SSETPN ) || ( var5 == DAIF && var43 == SSETPN ) || ( var5 == EUOR && var43 == ETPN01 ) || ( var5 == CETI && var43 == SSETPN ) ) ); ( ( ( var1 == S64 && var2 == E1 && var5 == FRAN && var45 == SUSNOR ) || ( var1 == S64 && var2 == E1 && var5 == DOTO && var45 == SUSNOR ) || ( var1 == S64 && var2 == E1 && var5 == ALLE && var45 == SUSNOR ) || ( var1 == S64 && var2 == E1 && var5 == AUTR && var45 == SUSNOR ) || ( var1 == S64 && var2 == E1 && var5 == BELG && var45 == SUSNOR ) || ( var1 == S64 && var2 == E1 && var5 == DANE && var45 == SUSNOR ) || ( var1 == S64 && var2 == E1 && var5 == ESPA && var45 == SUSNOR ) || ( var1 == S64 && var2 == E1 && var5 == FINL && var45 == SUSNOR ) || ( var1 == S64 && var2 == E1 && var5 == GRBR && var45 == SUSNOR ) || ( var1 == S64 && var2 == E1 && var5 == GREC && var45 == SUSNOR ) || ( var1 == S64 && var2 == E1 && var5 == HOLL && var45 == SUSNOR ) || ( var1 == S64 && var2 == E1 && var5 == IRLA && var45 == SUSNOR ) || ( var1 == S64 && var2 == E1 && var5 == ISLA && var45 == SUSNOR ) || ( var1 == S64 && var2 == E1 && var5 == ITAL && var45 == SUSNOR ) || ( var1 == S64 && var2 == E1 && var5 == NORV && var45 == SUSNOR ) || ( var1 == S64 && var2 == E1 && var5 == PORT && var45 == SUSNOR ) || ( var1 == S64 && var2 == E1 && var5 == SUED && var45 == SUSNOR ) || ( var1 == S64 && var2 == E1 && var5 == SUIS && var45 == SUSNOR ) || ( var1 == S64 && var2 == E1 && var5 == YOUG && var45 == SUSNOR ) || ( var1 == S64 && var2 == E1 && var5 == MAGH && var45 == SUSNOR ) || ( var1 == S64 && var2 == E1 && var5 == TURQ && var45 == SUSNOR ) || ( var1 == S64 && var2 == E1 && var5 == ARGE && var45 == SUSNOR ) || ( var1 == S64 && var2 == E1 && var5 == BRES && var45 == SUSNOR ) || ( var1 == S64 && var2 == E1 && var5 == CHIL && var45 == SUSNOR ) || ( var1 == S64 && var2 == E1 && var5 == COLO && var45 == SUSNOR ) || ( var1 == S64 && var2 == E1 && var5 == AFSU && var45 == SUSNOR ) || ( var1 == S64 && var2 == E1 && var5 == MARO && var45 == SUSNOR ) || ( var1 == S64 && var2 == E1 && var5 == ISRA && var45 == SUSNOR ) || ( var1 == S64 && var2 == E1 && var5 == HONG && var45 == SUSNOR ) || ( var1 == S64 && var2 == E1 && var5 == TCHE && var45 == SUSNOR ) || ( var1 == S64 && var2 == E1 && var5 == POLO && var45 == SUSNOR ) || ( var1 == S64 && var2 == E1 && var5 == SLVQ && var45 == SUSNOR ) || ( var1 == S64 && var2 == E1 && var5 == JAPO && var45 == SUSNOR ) || ( var1 == S64 && var2 == E1 && var5 == TAIW && var45 == SUSNOR ) || ( var1 == S64 && var2 == E1 && var5 == AUST && var45 == SUSNOR ) || ( var1 == S64 && var2 == E1 && var5 == URUG && var45 == SUSNOR ) || ( var1 == S64 && var2 == E1 && var5 == DAIB && var45 == SUSNOR ) || ( var1 == S64 && var2 == E1 && var5 == DAIC && var45 == SUSNOR ) || ( var1 == S64 && var2 == E1 && var5 == DAID && var45 == SUSNOR ) || ( var1 == S64 && var2 == E1 && var5 == DAIF && var45 == SUSNOR ) || ( var1 == S64 && var2 == E1 && var5 == EUOR && var45 == SUSNOR ) || ( var1 == S64 && var2 == E1 && var5 == CETI && var45 == SUSNOR ) || ( var1 == S64 && var2 == E2 && var5 == FRAN && var45 == SUSNOR ) || ( var1 == S64 && var2 == E2 && var5 == DOTO && var45 == SUSNOR ) || ( var1 == S64 && var2 == E2 && var5 == ALLE && var45 == SUSNOR ) || ( var1 == S64 && var2 == E2 && var5 == AUTR && var45 == SUSNOR ) || ( var1 == S64 && var2 == E2 && var5 == BELG && var45 == SUSNOR ) || ( var1 == S64 && var2 == E2 && var5 == DANE && var45 == SUSNOR ) || ( var1 == S64 && var2 == E2 && var5 == ESPA && var45 == SUSNOR ) || ( var1 == S64 && var2 == E2 && var5 == FINL && var45 == SUSNOR ) || ( var1 == S64 && var2 == E2 && var5 == GRBR && var45 == SUSNOR ) || ( var1 == S64 && var2 == E2 && var5 == GREC && var45 == SUSNOR ) || ( var1 == S64 && var2 == E2 && var5 == HOLL && var45 == SUSNOR ) || ( var1 == S64 && var2 == E2 && var5 == IRLA && var45 == SUSNOR ) || ( var1 == S64 && var2 == E2 && var5 == ISLA && var45 == SUSNOR ) || ( var1 == S64 && var2 == E2 && var5 == ITAL && var45 == SUSNOR ) || ( var1 == S64 && var2 == E2 && var5 == NORV && var45 == SUSNOR ) || ( var1 == S64 && var2 == E2 && var5 == PORT && var45 == SUSNOR ) || ( var1 == S64 && var2 == E2 && var5 == SUED && var45 == SUSNOR ) || ( var1 == S64 && var2 == E2 && var5 == SUIS && var45 == SUSNOR ) || ( var1 == S64 && var2 == E2 && var5 == YOUG && var45 == SUSNOR ) || ( var1 == S64 && var2 == E2 && var5 == MAGH && var45 == SUSNOR ) || ( var1 == S64 && var2 == E2 && var5 == TURQ && var45 == SUSNOR ) || ( var1 == S64 && var2 == E2 && var5 == ARGE && var45 == SUSNOR ) || ( var1 == S64 && var2 == E2 && var5 == BRES && var45 == SUSNOR ) || ( var1 == S64 && var2 == E2 && var5 == CHIL && var45 == SUSNOR ) || ( var1 == S64 && var2 == E2 && var5 == COLO && var45 == SUSNOR ) || ( var1 == S64 && var2 == E2 && var5 == AFSU && var45 == SUSNOR ) || ( var1 == S64 && var2 == E2 && var5 == MARO && var45 == SUSNOR ) || ( var1 == S64 && var2 == E2 && var5 == ISRA && var45 == SUSNOR ) || ( var1 == S64 && var2 == E2 && var5 == HONG && var45 == SUSNOR ) || ( var1 == S64 && var2 == E2 && var5 == TCHE && var45 == SUSNOR ) || ( var1 == S64 && var2 == E2 && var5 == POLO && var45 == SUSNOR ) || ( var1 == S64 && var2 == E2 && var5 == SLVQ && var45 == SUSNOR ) || ( var1 == S64 && var2 == E2 && var5 == JAPO && var45 == SUSNOR ) || ( var1 == S64 && var2 == E2 && var5 == TAIW && var45 == SUSNOR ) || ( var1 == S64 && var2 == E2 && var5 == AUST && var45 == SUSNOR ) || ( var1 == S64 && var2 == E2 && var5 == URUG && var45 == SUSNOR ) || ( var1 == S64 && var2 == E2 && var5 == DAIB && var45 == SUSNOR ) || ( var1 == S64 && var2 == E2 && var5 == DAIC && var45 == SUSNOR ) || ( var1 == S64 && var2 == E2 && var5 == DAID && var45 == SUSNOR ) || ( var1 == S64 && var2 == E2 && var5 == DAIF && var45 == SUSNOR ) || ( var1 == S64 && var2 == E2 && var5 == EUOR && var45 == SUSNOR ) || ( var1 == S64 && var2 == E2 && var5 == CETI && var45 == SUSNOR ) || ( var1 == L64 && var2 == E1 && var5 == FRAN && var45 == SUSNOR ) || ( var1 == L64 && var2 == E1 && var5 == DOTO && var45 == SUSNOR ) || ( var1 == L64 && var2 == E1 && var5 == ALLE && var45 == SUSNOR ) || ( var1 == L64 && var2 == E1 && var5 == AUTR && var45 == SUSNOR ) || ( var1 == L64 && var2 == E1 && var5 == BELG && var45 == SUSNOR ) || ( var1 == L64 && var2 == E1 && var5 == DANE && var45 == SUSNOR ) || ( var1 == L64 && var2 == E1 && var5 == ESPA && var45 == SUSNOR ) || ( var1 == L64 && var2 == E1 && var5 == FINL && var45 == SUSNOR ) || ( var1 == L64 && var2 == E1 && var5 == GRBR && var45 == SUSNOR ) || ( var1 == L64 && var2 == E1 && var5 == GREC && var45 == SUSNOR ) || ( var1 == L64 && var2 == E1 && var5 == HOLL && var45 == SUSNOR ) || ( var1 == L64 && var2 == E1 && var5 == IRLA && var45 == SUSNOR ) || ( var1 == L64 && var2 == E1 && var5 == ISLA && var45 == SUSNOR ) || ( var1 == L64 && var2 == E1 && var5 == ITAL && var45 == SUSNOR ) || ( var1 == L64 && var2 == E1 && var5 == NORV && var45 == SUSNOR ) || ( var1 == L64 && var2 == E1 && var5 == PORT && var45 == SUSNOR ) || ( var1 == L64 && var2 == E1 && var5 == SUED && var45 == SUSNOR ) || ( var1 == L64 && var2 == E1 && var5 == SUIS && var45 == SUSNOR ) || ( var1 == L64 && var2 == E1 && var5 == YOUG && var45 == SUSNOR ) || ( var1 == L64 && var2 == E1 && var5 == MAGH && var45 == SUSREN ) || ( var1 == L64 && var2 == E1 && var5 == TURQ && var45 == SUSREN ) || ( var1 == L64 && var2 == E1 && var5 == ARGE && var45 == SUSREN ) || ( var1 == L64 && var2 == E1 && var5 == BRES && var45 == SUSREN ) || ( var1 == L64 && var2 == E1 && var5 == CHIL && var45 == SUSNOR ) || ( var1 == L64 && var2 == E1 && var5 == COLO && var45 == SUSREN ) || ( var1 == L64 && var2 == E1 && var5 == AFSU && var45 == SUSNOR ) || ( var1 == L64 && var2 == E1 && var5 == MARO && var45 == SUSREN ) || ( var1 == L64 && var2 == E1 && var5 == ISRA && var45 == SUSNOR ) || ( var1 == L64 && var2 == E1 && var5 == HONG && var45 == SUSNOR ) || ( var1 == L64 && var2 == E1 && var5 == TCHE && var45 == SUSNOR ) || ( var1 == L64 && var2 == E1 && var5 == POLO && var45 == SUSNOR ) || ( var1 == L64 && var2 == E1 && var5 == SLVQ && var45 == SUSNOR ) || ( var1 == L64 && var2 == E1 && var5 == JAPO && var45 == SUSNOR ) || ( var1 == L64 && var2 == E1 && var5 == TAIW && var45 == SUSNOR ) || ( var1 == L64 && var2 == E1 && var5 == AUST && var45 == SUSNOR ) || ( var1 == L64 && var2 == E1 && var5 == URUG && var45 == SUSNOR ) || ( var1 == L64 && var2 == E1 && var5 == DAIB && var45 == SUSREN ) || ( var1 == L64 && var2 == E1 && var5 == DAIC && var45 == SUSREN ) || ( var1 == L64 && var2 == E1 && var5 == DAID && var45 == SUSNOR ) || ( var1 == L64 && var2 == E1 && var5 == DAIF && var45 == SUSNOR ) || ( var1 == L64 && var2 == E1 && var5 == EUOR && var45 == SUSNOR ) || ( var1 == L64 && var2 == E1 && var5 == CETI && var45 == SUSREN ) || ( var1 == L64 && var2 == E2 && var5 == FRAN && var45 == SUSNOR ) || ( var1 == L64 && var2 == E2 && var5 == DOTO && var45 == SUSNOR ) || ( var1 == L64 && var2 == E2 && var5 == ALLE && var45 == SUSNOR ) || ( var1 == L64 && var2 == E2 && var5 == AUTR && var45 == SUSNOR ) || ( var1 == L64 && var2 == E2 && var5 == BELG && var45 == SUSNOR ) || ( var1 == L64 && var2 == E2 && var5 == DANE && var45 == SUSNOR ) || ( var1 == L64 && var2 == E2 && var5 == ESPA && var45 == SUSNOR ) || ( var1 == L64 && var2 == E2 && var5 == FINL && var45 == SUSNOR ) || ( var1 == L64 && var2 == E2 && var5 == GRBR && var45 == SUSNOR ) || ( var1 == L64 && var2 == E2 && var5 == GREC && var45 == SUSNOR ) || ( var1 == L64 && var2 == E2 && var5 == HOLL && var45 == SUSNOR ) || ( var1 == L64 && var2 == E2 && var5 == IRLA && var45 == SUSNOR ) || ( var1 == L64 && var2 == E2 && var5 == ISLA && var45 == SUSNOR ) || ( var1 == L64 && var2 == E2 && var5 == ITAL && var45 == SUSNOR ) || ( var1 == L64 && var2 == E2 && var5 == NORV && var45 == SUSNOR ) || ( var1 == L64 && var2 == E2 && var5 == PORT && var45 == SUSNOR ) || ( var1 == L64 && var2 == E2 && var5 == SUED && var45 == SUSNOR ) || ( var1 == L64 && var2 == E2 && var5 == SUIS && var45 == SUSNOR ) || ( var1 == L64 && var2 == E2 && var5 == YOUG && var45 == SUSNOR ) || ( var1 == L64 && var2 == E2 && var5 == MAGH && var45 == SUSREN ) || ( var1 == L64 && var2 == E2 && var5 == TURQ && var45 == SUSREN ) || ( var1 == L64 && var2 == E2 && var5 == ARGE && var45 == SUSREN ) || ( var1 == L64 && var2 == E2 && var5 == BRES && var45 == SUSREN ) || ( var1 == L64 && var2 == E2 && var5 == CHIL && var45 == SUSNOR ) || ( var1 == L64 && var2 == E2 && var5 == COLO && var45 == SUSREN ) || ( var1 == L64 && var2 == E2 && var5 == AFSU && var45 == SUSNOR ) || ( var1 == L64 && var2 == E2 && var5 == MARO && var45 == SUSREN ) || ( var1 == L64 && var2 == E2 && var5 == ISRA && var45 == SUSNOR ) || ( var1 == L64 && var2 == E2 && var5 == HONG && var45 == SUSNOR ) || ( var1 == L64 && var2 == E2 && var5 == TCHE && var45 == SUSNOR ) || ( var1 == L64 && var2 == E2 && var5 == POLO && var45 == SUSNOR ) || ( var1 == L64 && var2 == E2 && var5 == SLVQ && var45 == SUSNOR ) || ( var1 == L64 && var2 == E2 && var5 == JAPO && var45 == SUSNOR ) || ( var1 == L64 && var2 == E2 && var5 == TAIW && var45 == SUSNOR ) || ( var1 == L64 && var2 == E2 && var5 == AUST && var45 == SUSNOR ) || ( var1 == L64 && var2 == E2 && var5 == URUG && var45 == SUSNOR ) || ( var1 == L64 && var2 == E2 && var5 == DAIB && var45 == SUSREN ) || ( var1 == L64 && var2 == E2 && var5 == DAIC && var45 == SUSREN ) || ( var1 == L64 && var2 == E2 && var5 == DAID && var45 == SUSNOR ) || ( var1 == L64 && var2 == E2 && var5 == DAIF && var45 == SUSNOR ) || ( var1 == L64 && var2 == E2 && var5 == EUOR && var45 == SUSNOR ) || ( var1 == L64 && var2 == E2 && var5 == CETI && var45 == SUSREN ) || ( var1 == L64 && var2 == E3 && var5 == FRAN && var45 == SUSNOR ) || ( var1 == L64 && var2 == E3 && var5 == DOTO && var45 == SUSNOR ) || ( var1 == L64 && var2 == E3 && var5 == ALLE && var45 == SUSNOR ) || ( var1 == L64 && var2 == E3 && var5 == AUTR && var45 == SUSNOR ) || ( var1 == L64 && var2 == E3 && var5 == BELG && var45 == SUSNOR ) || ( var1 == L64 && var2 == E3 && var5 == DANE && var45 == SUSNOR ) || ( var1 == L64 && var2 == E3 && var5 == ESPA && var45 == SUSNOR ) || ( var1 == L64 && var2 == E3 && var5 == FINL && var45 == SUSNOR ) || ( var1 == L64 && var2 == E3 && var5 == GRBR && var45 == SUSNOR ) || ( var1 == L64 && var2 == E3 && var5 == GREC && var45 == SUSNOR ) || ( var1 == L64 && var2 == E3 && var5 == HOLL && var45 == SUSNOR ) || ( var1 == L64 && var2 == E3 && var5 == IRLA && var45 == SUSNOR ) || ( var1 == L64 && var2 == E3 && var5 == ISLA && var45 == SUSNOR ) || ( var1 == L64 && var2 == E3 && var5 == ITAL && var45 == SUSNOR ) || ( var1 == L64 && var2 == E3 && var5 == NORV && var45 == SUSNOR ) || ( var1 == L64 && var2 == E3 && var5 == PORT && var45 == SUSNOR ) || ( var1 == L64 && var2 == E3 && var5 == SUED && var45 == SUSNOR ) || ( var1 == L64 && var2 == E3 && var5 == SUIS && var45 == SUSNOR ) || ( var1 == L64 && var2 == E3 && var5 == YOUG && var45 == SUSNOR ) || ( var1 == L64 && var2 == E3 && var5 == MAGH && var45 == SUSREN ) || ( var1 == L64 && var2 == E3 && var5 == TURQ && var45 == SUSREN ) || ( var1 == L64 && var2 == E3 && var5 == ARGE && var45 == SUSREN ) || ( var1 == L64 && var2 == E3 && var5 == BRES && var45 == SUSREN ) || ( var1 == L64 && var2 == E3 && var5 == CHIL && var45 == SUSNOR ) || ( var1 == L64 && var2 == E3 && var5 == COLO && var45 == SUSREN ) || ( var1 == L64 && var2 == E3 && var5 == AFSU && var45 == SUSNOR ) || ( var1 == L64 && var2 == E3 && var5 == MARO && var45 == SUSREN ) || ( var1 == L64 && var2 == E3 && var5 == ISRA && var45 == SUSNOR ) || ( var1 == L64 && var2 == E3 && var5 == HONG && var45 == SUSNOR ) || ( var1 == L64 && var2 == E3 && var5 == TCHE && var45 == SUSNOR ) || ( var1 == L64 && var2 == E3 && var5 == POLO && var45 == SUSNOR ) || ( var1 == L64 && var2 == E3 && var5 == SLVQ && var45 == SUSNOR ) || ( var1 == L64 && var2 == E3 && var5 == JAPO && var45 == SUSNOR ) || ( var1 == L64 && var2 == E3 && var5 == TAIW && var45 == SUSNOR ) || ( var1 == L64 && var2 == E3 && var5 == AUST && var45 == SUSNOR ) || ( var1 == L64 && var2 == E3 && var5 == URUG && var45 == SUSNOR ) || ( var1 == L64 && var2 == E3 && var5 == DAIB && var45 == SUSREN ) || ( var1 == L64 && var2 == E3 && var5 == DAIC && var45 == SUSREN ) || ( var1 == L64 && var2 == E3 && var5 == DAID && var45 == SUSNOR ) || ( var1 == L64 && var2 == E3 && var5 == DAIF && var45 == SUSNOR ) || ( var1 == L64 && var2 == E3 && var5 == EUOR && var45 == SUSNOR ) || ( var1 == L64 && var2 == E3 && var5 == CETI && var45 == SUSREN ) || ( var1 == E64 && var2 == E0 && var5 == FRAN && var45 == SUSNOR ) || ( var1 == E64 && var2 == E0 && var5 == DOTO && var45 == SUSNOR ) || ( var1 == E64 && var2 == E0 && var5 == ALLE && var45 == SUSNOR ) || ( var1 == E64 && var2 == E0 && var5 == AUTR && var45 == SUSNOR ) || ( var1 == E64 && var2 == E0 && var5 == BELG && var45 == SUSNOR ) || ( var1 == E64 && var2 == E0 && var5 == DANE && var45 == SUSNOR ) || ( var1 == E64 && var2 == E0 && var5 == ESPA && var45 == SUSNOR ) || ( var1 == E64 && var2 == E0 && var5 == FINL && var45 == SUSNOR ) || ( var1 == E64 && var2 == E0 && var5 == GRBR && var45 == SUSNOR ) || ( var1 == E64 && var2 == E0 && var5 == GREC && var45 == SUSNOR ) || ( var1 == E64 && var2 == E0 && var5 == HOLL && var45 == SUSNOR ) || ( var1 == E64 && var2 == E0 && var5 == IRLA && var45 == SUSNOR ) || ( var1 == E64 && var2 == E0 && var5 == ISLA && var45 == SUSNOR ) || ( var1 == E64 && var2 == E0 && var5 == ITAL && var45 == SUSNOR ) || ( var1 == E64 && var2 == E0 && var5 == NORV && var45 == SUSNOR ) || ( var1 == E64 && var2 == E0 && var5 == PORT && var45 == SUSNOR ) || ( var1 == E64 && var2 == E0 && var5 == SUED && var45 == SUSNOR ) || ( var1 == E64 && var2 == E0 && var5 == SUIS && var45 == SUSNOR ) || ( var1 == E64 && var2 == E0 && var5 == YOUG && var45 == SUSNOR ) || ( var1 == E64 && var2 == E0 && var5 == MAGH && var45 == SUSNOR ) || ( var1 == E64 && var2 == E0 && var5 == TURQ && var45 == SUSNOR ) || ( var1 == E64 && var2 == E0 && var5 == ARGE && var45 == SUSNOR ) || ( var1 == E64 && var2 == E0 && var5 == BRES && var45 == SUSNOR ) || ( var1 == E64 && var2 == E0 && var5 == CHIL && var45 == SUSNOR ) || ( var1 == E64 && var2 == E0 && var5 == COLO && var45 == SUSNOR ) || ( var1 == E64 && var2 == E0 && var5 == AFSU && var45 == SUSNOR ) || ( var1 == E64 && var2 == E0 && var5 == MARO && var45 == SUSNOR ) || ( var1 == E64 && var2 == E0 && var5 == ISRA && var45 == SUSNOR ) || ( var1 == E64 && var2 == E0 && var5 == HONG && var45 == SUSNOR ) || ( var1 == E64 && var2 == E0 && var5 == TCHE && var45 == SUSNOR ) || ( var1 == E64 && var2 == E0 && var5 == POLO && var45 == SUSNOR ) || ( var1 == E64 && var2 == E0 && var5 == SLVQ && var45 == SUSNOR ) || ( var1 == E64 && var2 == E0 && var5 == JAPO && var45 == SUSNOR ) || ( var1 == E64 && var2 == E0 && var5 == TAIW && var45 == SUSNOR ) || ( var1 == E64 && var2 == E0 && var5 == AUST && var45 == SUSNOR ) || ( var1 == E64 && var2 == E0 && var5 == URUG && var45 == SUSNOR ) || ( var1 == E64 && var2 == E0 && var5 == DAIB && var45 == SUSNOR ) || ( var1 == E64 && var2 == E0 && var5 == DAIC && var45 == SUSNOR ) || ( var1 == E64 && var2 == E0 && var5 == DAID && var45 == SUSNOR ) || ( var1 == E64 && var2 == E0 && var5 == DAIF && var45 == SUSNOR ) || ( var1 == E64 && var2 == E0 && var5 == EUOR && var45 == SUSNOR ) || ( var1 == E64 && var2 == E0 && var5 == CETI && var45 == SUSNOR ) || ( var1 == E64 && var2 == E1 && var5 == FRAN && var45 == SUSNOR ) || ( var1 == E64 && var2 == E1 && var5 == DOTO && var45 == SUSNOR ) || ( var1 == E64 && var2 == E1 && var5 == ALLE && var45 == SUSNOR ) || ( var1 == E64 && var2 == E1 && var5 == AUTR && var45 == SUSNOR ) || ( var1 == E64 && var2 == E1 && var5 == BELG && var45 == SUSNOR ) || ( var1 == E64 && var2 == E1 && var5 == DANE && var45 == SUSNOR ) || ( var1 == E64 && var2 == E1 && var5 == ESPA && var45 == SUSNOR ) || ( var1 == E64 && var2 == E1 && var5 == FINL && var45 == SUSNOR ) || ( var1 == E64 && var2 == E1 && var5 == GRBR && var45 == SUSNOR ) || ( var1 == E64 && var2 == E1 && var5 == GREC && var45 == SUSNOR ) || ( var1 == E64 && var2 == E1 && var5 == HOLL && var45 == SUSNOR ) || ( var1 == E64 && var2 == E1 && var5 == IRLA && var45 == SUSNOR ) || ( var1 == E64 && var2 == E1 && var5 == ISLA && var45 == SUSNOR ) || ( var1 == E64 && var2 == E1 && var5 == ITAL && var45 == SUSNOR ) || ( var1 == E64 && var2 == E1 && var5 == NORV && var45 == SUSNOR ) || ( var1 == E64 && var2 == E1 && var5 == PORT && var45 == SUSNOR ) || ( var1 == E64 && var2 == E1 && var5 == SUED && var45 == SUSNOR ) || ( var1 == E64 && var2 == E1 && var5 == SUIS && var45 == SUSNOR ) || ( var1 == E64 && var2 == E1 && var5 == YOUG && var45 == SUSNOR ) || ( var1 == E64 && var2 == E1 && var5 == MAGH && var45 == SUSNOR ) || ( var1 == E64 && var2 == E1 && var5 == TURQ && var45 == SUSNOR ) || ( var1 == E64 && var2 == E1 && var5 == ARGE && var45 == SUSNOR ) || ( var1 == E64 && var2 == E1 && var5 == BRES && var45 == SUSNOR ) || ( var1 == E64 && var2 == E1 && var5 == CHIL && var45 == SUSNOR ) || ( var1 == E64 && var2 == E1 && var5 == COLO && var45 == SUSNOR ) || ( var1 == E64 && var2 == E1 && var5 == AFSU && var45 == SUSNOR ) || ( var1 == E64 && var2 == E1 && var5 == MARO && var45 == SUSNOR ) || ( var1 == E64 && var2 == E1 && var5 == ISRA && var45 == SUSNOR ) || ( var1 == E64 && var2 == E1 && var5 == HONG && var45 == SUSNOR ) || ( var1 == E64 && var2 == E1 && var5 == TCHE && var45 == SUSNOR ) || ( var1 == E64 && var2 == E1 && var5 == POLO && var45 == SUSNOR ) || ( var1 == E64 && var2 == E1 && var5 == SLVQ && var45 == SUSNOR ) || ( var1 == E64 && var2 == E1 && var5 == JAPO && var45 == SUSNOR ) || ( var1 == E64 && var2 == E1 && var5 == TAIW && var45 == SUSNOR ) || ( var1 == E64 && var2 == E1 && var5 == AUST && var45 == SUSNOR ) || ( var1 == E64 && var2 == E1 && var5 == URUG && var45 == SUSNOR ) || ( var1 == E64 && var2 == E1 && var5 == DAIB && var45 == SUSNOR ) || ( var1 == E64 && var2 == E1 && var5 == DAIC && var45 == SUSNOR ) || ( var1 == E64 && var2 == E1 && var5 == DAID && var45 == SUSNOR ) || ( var1 == E64 && var2 == E1 && var5 == DAIF && var45 == SUSNOR ) || ( var1 == E64 && var2 == E1 && var5 == EUOR && var45 == SUSNOR ) || ( var1 == E64 && var2 == E1 && var5 == CETI && var45 == SUSNOR ) || ( var1 == E64 && var2 == E2 && var5 == FRAN && var45 == SUSNOR ) || ( var1 == E64 && var2 == E2 && var5 == DOTO && var45 == SUSNOR ) || ( var1 == E64 && var2 == E2 && var5 == ALLE && var45 == SUSNOR ) || ( var1 == E64 && var2 == E2 && var5 == AUTR && var45 == SUSNOR ) || ( var1 == E64 && var2 == E2 && var5 == BELG && var45 == SUSNOR ) || ( var1 == E64 && var2 == E2 && var5 == DANE && var45 == SUSNOR ) || ( var1 == E64 && var2 == E2 && var5 == ESPA && var45 == SUSNOR ) || ( var1 == E64 && var2 == E2 && var5 == FINL && var45 == SUSNOR ) || ( var1 == E64 && var2 == E2 && var5 == GRBR && var45 == SUSNOR ) || ( var1 == E64 && var2 == E2 && var5 == GREC && var45 == SUSNOR ) || ( var1 == E64 && var2 == E2 && var5 == HOLL && var45 == SUSNOR ) || ( var1 == E64 && var2 == E2 && var5 == IRLA && var45 == SUSNOR ) || ( var1 == E64 && var2 == E2 && var5 == ISLA && var45 == SUSNOR ) || ( var1 == E64 && var2 == E2 && var5 == ITAL && var45 == SUSNOR ) || ( var1 == E64 && var2 == E2 && var5 == NORV && var45 == SUSNOR ) || ( var1 == E64 && var2 == E2 && var5 == PORT && var45 == SUSNOR ) || ( var1 == E64 && var2 == E2 && var5 == SUED && var45 == SUSNOR ) || ( var1 == E64 && var2 == E2 && var5 == SUIS && var45 == SUSNOR ) || ( var1 == E64 && var2 == E2 && var5 == YOUG && var45 == SUSNOR ) || ( var1 == E64 && var2 == E2 && var5 == MAGH && var45 == SUSNOR ) || ( var1 == E64 && var2 == E2 && var5 == TURQ && var45 == SUSNOR ) || ( var1 == E64 && var2 == E2 && var5 == ARGE && var45 == SUSNOR ) || ( var1 == E64 && var2 == E2 && var5 == BRES && var45 == SUSNOR ) || ( var1 == E64 && var2 == E2 && var5 == CHIL && var45 == SUSNOR ) || ( var1 == E64 && var2 == E2 && var5 == COLO && var45 == SUSNOR ) || ( var1 == E64 && var2 == E2 && var5 == AFSU && var45 == SUSNOR ) || ( var1 == E64 && var2 == E2 && var5 == MARO && var45 == SUSNOR ) || ( var1 == E64 && var2 == E2 && var5 == ISRA && var45 == SUSNOR ) || ( var1 == E64 && var2 == E2 && var5 == HONG && var45 == SUSNOR ) || ( var1 == E64 && var2 == E2 && var5 == TCHE && var45 == SUSNOR ) || ( var1 == E64 && var2 == E2 && var5 == POLO && var45 == SUSNOR ) || ( var1 == E64 && var2 == E2 && var5 == SLVQ && var45 == SUSNOR ) || ( var1 == E64 && var2 == E2 && var5 == JAPO && var45 == SUSNOR ) || ( var1 == E64 && var2 == E2 && var5 == TAIW && var45 == SUSNOR ) || ( var1 == E64 && var2 == E2 && var5 == AUST && var45 == SUSNOR ) || ( var1 == E64 && var2 == E2 && var5 == URUG && var45 == SUSNOR ) || ( var1 == E64 && var2 == E2 && var5 == DAIB && var45 == SUSNOR ) || ( var1 == E64 && var2 == E2 && var5 == DAIC && var45 == SUSNOR ) || ( var1 == E64 && var2 == E2 && var5 == DAID && var45 == SUSNOR ) || ( var1 == E64 && var2 == E2 && var5 == DAIF && var45 == SUSNOR ) || ( var1 == E64 && var2 == E2 && var5 == EUOR && var45 == SUSNOR ) || ( var1 == E64 && var2 == E2 && var5 == CETI && var45 == SUSNOR ) || ( var1 == E64 && var2 == E3 && var5 == FRAN && var45 == SUSNOR ) || ( var1 == E64 && var2 == E3 && var5 == DOTO && var45 == SUSNOR ) || ( var1 == E64 && var2 == E3 && var5 == ALLE && var45 == SUSNOR ) || ( var1 == E64 && var2 == E3 && var5 == AUTR && var45 == SUSNOR ) || ( var1 == E64 && var2 == E3 && var5 == BELG && var45 == SUSNOR ) || ( var1 == E64 && var2 == E3 && var5 == DANE && var45 == SUSNOR ) || ( var1 == E64 && var2 == E3 && var5 == ESPA && var45 == SUSNOR ) || ( var1 == E64 && var2 == E3 && var5 == FINL && var45 == SUSNOR ) || ( var1 == E64 && var2 == E3 && var5 == GRBR && var45 == SUSNOR ) || ( var1 == E64 && var2 == E3 && var5 == GREC && var45 == SUSNOR ) || ( var1 == E64 && var2 == E3 && var5 == HOLL && var45 == SUSNOR ) || ( var1 == E64 && var2 == E3 && var5 == IRLA && var45 == SUSNOR ) || ( var1 == E64 && var2 == E3 && var5 == ISLA && var45 == SUSNOR ) || ( var1 == E64 && var2 == E3 && var5 == ITAL && var45 == SUSNOR ) || ( var1 == E64 && var2 == E3 && var5 == NORV && var45 == SUSNOR ) || ( var1 == E64 && var2 == E3 && var5 == PORT && var45 == SUSNOR ) || ( var1 == E64 && var2 == E3 && var5 == SUED && var45 == SUSNOR ) || ( var1 == E64 && var2 == E3 && var5 == SUIS && var45 == SUSNOR ) || ( var1 == E64 && var2 == E3 && var5 == YOUG && var45 == SUSNOR ) || ( var1 == E64 && var2 == E3 && var5 == MAGH && var45 == SUSNOR ) || ( var1 == E64 && var2 == E3 && var5 == TURQ && var45 == SUSNOR ) || ( var1 == E64 && var2 == E3 && var5 == ARGE && var45 == SUSNOR ) || ( var1 == E64 && var2 == E3 && var5 == BRES && var45 == SUSNOR ) || ( var1 == E64 && var2 == E3 && var5 == CHIL && var45 == SUSNOR ) || ( var1 == E64 && var2 == E3 && var5 == COLO && var45 == SUSNOR ) || ( var1 == E64 && var2 == E3 && var5 == AFSU && var45 == SUSNOR ) || ( var1 == E64 && var2 == E3 && var5 == MARO && var45 == SUSNOR ) || ( var1 == E64 && var2 == E3 && var5 == ISRA && var45 == SUSNOR ) || ( var1 == E64 && var2 == E3 && var5 == HONG && var45 == SUSNOR ) || ( var1 == E64 && var2 == E3 && var5 == TCHE && var45 == SUSNOR ) || ( var1 == E64 && var2 == E3 && var5 == POLO && var45 == SUSNOR ) || ( var1 == E64 && var2 == E3 && var5 == SLVQ && var45 == SUSNOR ) || ( var1 == E64 && var2 == E3 && var5 == JAPO && var45 == SUSNOR ) || ( var1 == E64 && var2 == E3 && var5 == TAIW && var45 == SUSNOR ) || ( var1 == E64 && var2 == E3 && var5 == AUST && var45 == SUSNOR ) || ( var1 == E64 && var2 == E3 && var5 == URUG && var45 == SUSNOR ) || ( var1 == E64 && var2 == E3 && var5 == DAIB && var45 == SUSNOR ) || ( var1 == E64 && var2 == E3 && var5 == DAIC && var45 == SUSNOR ) || ( var1 == E64 && var2 == E3 && var5 == DAID && var45 == SUSNOR ) || ( var1 == E64 && var2 == E3 && var5 == DAIF && var45 == SUSNOR ) || ( var1 == E64 && var2 == E3 && var5 == EUOR && var45 == SUSNOR ) || ( var1 == E64 && var2 == E3 && var5 == CETI && var45 == SUSNOR ) || ( var1 == E64 && var2 == E5 && var5 == FRAN && var45 == SUSNOR ) || ( var1 == E64 && var2 == E5 && var5 == DOTO && var45 == SUSNOR ) || ( var1 == E64 && var2 == E5 && var5 == ALLE && var45 == SUSNOR ) || ( var1 == E64 && var2 == E5 && var5 == AUTR && var45 == SUSNOR ) || ( var1 == E64 && var2 == E5 && var5 == BELG && var45 == SUSNOR ) || ( var1 == E64 && var2 == E5 && var5 == DANE && var45 == SUSNOR ) || ( var1 == E64 && var2 == E5 && var5 == ESPA && var45 == SUSNOR ) || ( var1 == E64 && var2 == E5 && var5 == FINL && var45 == SUSNOR ) || ( var1 == E64 && var2 == E5 && var5 == GRBR && var45 == SUSNOR ) || ( var1 == E64 && var2 == E5 && var5 == GREC && var45 == SUSNOR ) || ( var1 == E64 && var2 == E5 && var5 == HOLL && var45 == SUSNOR ) || ( var1 == E64 && var2 == E5 && var5 == IRLA && var45 == SUSNOR ) || ( var1 == E64 && var2 == E5 && var5 == ISLA && var45 == SUSNOR ) || ( var1 == E64 && var2 == E5 && var5 == ITAL && var45 == SUSNOR ) || ( var1 == E64 && var2 == E5 && var5 == NORV && var45 == SUSNOR ) || ( var1 == E64 && var2 == E5 && var5 == PORT && var45 == SUSNOR ) || ( var1 == E64 && var2 == E5 && var5 == SUED && var45 == SUSNOR ) || ( var1 == E64 && var2 == E5 && var5 == SUIS && var45 == SUSNOR ) || ( var1 == E64 && var2 == E5 && var5 == YOUG && var45 == SUSNOR ) || ( var1 == E64 && var2 == E5 && var5 == MAGH && var45 == SUSNOR ) || ( var1 == E64 && var2 == E5 && var5 == TURQ && var45 == SUSNOR ) || ( var1 == E64 && var2 == E5 && var5 == ARGE && var45 == SUSNOR ) || ( var1 == E64 && var2 == E5 && var5 == BRES && var45 == SUSNOR ) || ( var1 == E64 && var2 == E5 && var5 == CHIL && var45 == SUSNOR ) || ( var1 == E64 && var2 == E5 && var5 == COLO && var45 == SUSNOR ) || ( var1 == E64 && var2 == E5 && var5 == AFSU && var45 == SUSNOR ) || ( var1 == E64 && var2 == E5 && var5 == MARO && var45 == SUSNOR ) || ( var1 == E64 && var2 == E5 && var5 == ISRA && var45 == SUSNOR ) || ( var1 == E64 && var2 == E5 && var5 == HONG && var45 == SUSNOR ) || ( var1 == E64 && var2 == E5 && var5 == TCHE && var45 == SUSNOR ) || ( var1 == E64 && var2 == E5 && var5 == POLO && var45 == SUSNOR ) || ( var1 == E64 && var2 == E5 && var5 == SLVQ && var45 == SUSNOR ) || ( var1 == E64 && var2 == E5 && var5 == JAPO && var45 == SUSNOR ) || ( var1 == E64 && var2 == E5 && var5 == TAIW && var45 == SUSNOR ) || ( var1 == E64 && var2 == E5 && var5 == AUST && var45 == SUSNOR ) || ( var1 == E64 && var2 == E5 && var5 == URUG && var45 == SUSNOR ) || ( var1 == E64 && var2 == E5 && var5 == DAIB && var45 == SUSNOR ) || ( var1 == E64 && var2 == E5 && var5 == DAIC && var45 == SUSNOR ) || ( var1 == E64 && var2 == E5 && var5 == DAID && var45 == SUSNOR ) || ( var1 == E64 && var2 == E5 && var5 == DAIF && var45 == SUSNOR ) || ( var1 == E64 && var2 == E5 && var5 == EUOR && var45 == SUSNOR ) || ( var1 == E64 && var2 == E5 && var5 == CETI && var45 == SUSNOR ) || ( var1 == D64 && var2 == E2 && var5 == FRAN && var45 == SUSNOR ) || ( var1 == D64 && var2 == E2 && var5 == DOTO && var45 == SUSNOR ) || ( var1 == D64 && var2 == E2 && var5 == ALLE && var45 == SUSNOR ) || ( var1 == D64 && var2 == E2 && var5 == AUTR && var45 == SUSNOR ) || ( var1 == D64 && var2 == E2 && var5 == BELG && var45 == SUSNOR ) || ( var1 == D64 && var2 == E2 && var5 == DANE && var45 == SUSNOR ) || ( var1 == D64 && var2 == E2 && var5 == ESPA && var45 == SUSNOR ) || ( var1 == D64 && var2 == E2 && var5 == FINL && var45 == SUSNOR ) || ( var1 == D64 && var2 == E2 && var5 == GRBR && var45 == SUSNOR ) || ( var1 == D64 && var2 == E2 && var5 == GREC && var45 == SUSNOR ) || ( var1 == D64 && var2 == E2 && var5 == HOLL && var45 == SUSNOR ) || ( var1 == D64 && var2 == E2 && var5 == IRLA && var45 == SUSNOR ) || ( var1 == D64 && var2 == E2 && var5 == ISLA && var45 == SUSNOR ) || ( var1 == D64 && var2 == E2 && var5 == ITAL && var45 == SUSNOR ) || ( var1 == D64 && var2 == E2 && var5 == NORV && var45 == SUSNOR ) || ( var1 == D64 && var2 == E2 && var5 == PORT && var45 == SUSNOR ) || ( var1 == D64 && var2 == E2 && var5 == SUED && var45 == SUSNOR ) || ( var1 == D64 && var2 == E2 && var5 == SUIS && var45 == SUSNOR ) || ( var1 == D64 && var2 == E2 && var5 == YOUG && var45 == SUSNOR ) || ( var1 == D64 && var2 == E2 && var5 == MAGH && var45 == SUSNOR ) || ( var1 == D64 && var2 == E2 && var5 == TURQ && var45 == SUSNOR ) || ( var1 == D64 && var2 == E2 && var5 == ARGE && var45 == SUSNOR ) || ( var1 == D64 && var2 == E2 && var5 == BRES && var45 == SUSNOR ) || ( var1 == D64 && var2 == E2 && var5 == CHIL && var45 == SUSNOR ) || ( var1 == D64 && var2 == E2 && var5 == COLO && var45 == SUSNOR ) || ( var1 == D64 && var2 == E2 && var5 == AFSU && var45 == SUSNOR ) || ( var1 == D64 && var2 == E2 && var5 == MARO && var45 == SUSNOR ) || ( var1 == D64 && var2 == E2 && var5 == ISRA && var45 == SUSNOR ) || ( var1 == D64 && var2 == E2 && var5 == HONG && var45 == SUSNOR ) || ( var1 == D64 && var2 == E2 && var5 == TCHE && var45 == SUSNOR ) || ( var1 == D64 && var2 == E2 && var5 == POLO && var45 == SUSNOR ) || ( var1 == D64 && var2 == E2 && var5 == SLVQ && var45 == SUSNOR ) || ( var1 == D64 && var2 == E2 && var5 == JAPO && var45 == SUSNOR ) || ( var1 == D64 && var2 == E2 && var5 == TAIW && var45 == SUSNOR ) || ( var1 == D64 && var2 == E2 && var5 == AUST && var45 == SUSNOR ) || ( var1 == D64 && var2 == E2 && var5 == URUG && var45 == SUSNOR ) || ( var1 == D64 && var2 == E2 && var5 == DAIB && var45 == SUSNOR ) || ( var1 == D64 && var2 == E2 && var5 == DAIC && var45 == SUSNOR ) || ( var1 == D64 && var2 == E2 && var5 == DAID && var45 == SUSNOR ) || ( var1 == D64 && var2 == E2 && var5 == DAIF && var45 == SUSNOR ) || ( var1 == D64 && var2 == E2 && var5 == EUOR && var45 == SUSNOR ) || ( var1 == D64 && var2 == E2 && var5 == CETI && var45 == SUSNOR ) || ( var1 == D64 && var2 == E3 && var5 == FRAN && var45 == SUSNOR ) || ( var1 == D64 && var2 == E3 && var5 == DOTO && var45 == SUSNOR ) || ( var1 == D64 && var2 == E3 && var5 == ALLE && var45 == SUSNOR ) || ( var1 == D64 && var2 == E3 && var5 == AUTR && var45 == SUSNOR ) || ( var1 == D64 && var2 == E3 && var5 == BELG && var45 == SUSNOR ) || ( var1 == D64 && var2 == E3 && var5 == DANE && var45 == SUSNOR ) || ( var1 == D64 && var2 == E3 && var5 == ESPA && var45 == SUSNOR ) || ( var1 == D64 && var2 == E3 && var5 == FINL && var45 == SUSNOR ) || ( var1 == D64 && var2 == E3 && var5 == GRBR && var45 == SUSNOR ) || ( var1 == D64 && var2 == E3 && var5 == GREC && var45 == SUSNOR ) || ( var1 == D64 && var2 == E3 && var5 == HOLL && var45 == SUSNOR ) || ( var1 == D64 && var2 == E3 && var5 == IRLA && var45 == SUSNOR ) || ( var1 == D64 && var2 == E3 && var5 == ISLA && var45 == SUSNOR ) || ( var1 == D64 && var2 == E3 && var5 == ITAL && var45 == SUSNOR ) || ( var1 == D64 && var2 == E3 && var5 == NORV && var45 == SUSNOR ) || ( var1 == D64 && var2 == E3 && var5 == PORT && var45 == SUSNOR ) || ( var1 == D64 && var2 == E3 && var5 == SUED && var45 == SUSNOR ) || ( var1 == D64 && var2 == E3 && var5 == SUIS && var45 == SUSNOR ) || ( var1 == D64 && var2 == E3 && var5 == YOUG && var45 == SUSNOR ) || ( var1 == D64 && var2 == E3 && var5 == MAGH && var45 == SUSNOR ) || ( var1 == D64 && var2 == E3 && var5 == TURQ && var45 == SUSNOR ) || ( var1 == D64 && var2 == E3 && var5 == ARGE && var45 == SUSNOR ) || ( var1 == D64 && var2 == E3 && var5 == BRES && var45 == SUSNOR ) || ( var1 == D64 && var2 == E3 && var5 == CHIL && var45 == SUSNOR ) || ( var1 == D64 && var2 == E3 && var5 == COLO && var45 == SUSNOR ) || ( var1 == D64 && var2 == E3 && var5 == AFSU && var45 == SUSNOR ) || ( var1 == D64 && var2 == E3 && var5 == MARO && var45 == SUSNOR ) || ( var1 == D64 && var2 == E3 && var5 == ISRA && var45 == SUSNOR ) || ( var1 == D64 && var2 == E3 && var5 == HONG && var45 == SUSNOR ) || ( var1 == D64 && var2 == E3 && var5 == TCHE && var45 == SUSNOR ) || ( var1 == D64 && var2 == E3 && var5 == POLO && var45 == SUSNOR ) || ( var1 == D64 && var2 == E3 && var5 == SLVQ && var45 == SUSNOR ) || ( var1 == D64 && var2 == E3 && var5 == JAPO && var45 == SUSNOR ) || ( var1 == D64 && var2 == E3 && var5 == TAIW && var45 == SUSNOR ) || ( var1 == D64 && var2 == E3 && var5 == AUST && var45 == SUSNOR ) || ( var1 == D64 && var2 == E3 && var5 == URUG && var45 == SUSNOR ) || ( var1 == D64 && var2 == E3 && var5 == DAIB && var45 == SUSNOR ) || ( var1 == D64 && var2 == E3 && var5 == DAIC && var45 == SUSNOR ) || ( var1 == D64 && var2 == E3 && var5 == DAID && var45 == SUSNOR ) || ( var1 == D64 && var2 == E3 && var5 == DAIF && var45 == SUSNOR ) || ( var1 == D64 && var2 == E3 && var5 == EUOR && var45 == SUSNOR ) || ( var1 == D64 && var2 == E3 && var5 == CETI && var45 == SUSNOR ) || ( var1 == J64 && var2 == E2 && var5 == FRAN && var45 == SUSNOR ) || ( var1 == J64 && var2 == E2 && var5 == DOTO && var45 == SUSNOR ) || ( var1 == J64 && var2 == E2 && var5 == ALLE && var45 == SUSNOR ) || ( var1 == J64 && var2 == E2 && var5 == AUTR && var45 == SUSNOR ) || ( var1 == J64 && var2 == E2 && var5 == BELG && var45 == SUSNOR ) || ( var1 == J64 && var2 == E2 && var5 == DANE && var45 == SUSNOR ) || ( var1 == J64 && var2 == E2 && var5 == ESPA && var45 == SUSNOR ) || ( var1 == J64 && var2 == E2 && var5 == FINL && var45 == SUSNOR ) || ( var1 == J64 && var2 == E2 && var5 == GRBR && var45 == SUSNOR ) || ( var1 == J64 && var2 == E2 && var5 == GREC && var45 == SUSNOR ) || ( var1 == J64 && var2 == E2 && var5 == HOLL && var45 == SUSNOR ) || ( var1 == J64 && var2 == E2 && var5 == IRLA && var45 == SUSNOR ) || ( var1 == J64 && var2 == E2 && var5 == ISLA && var45 == SUSNOR ) || ( var1 == J64 && var2 == E2 && var5 == ITAL && var45 == SUSNOR ) || ( var1 == J64 && var2 == E2 && var5 == NORV && var45 == SUSNOR ) || ( var1 == J64 && var2 == E2 && var5 == PORT && var45 == SUSNOR ) || ( var1 == J64 && var2 == E2 && var5 == SUED && var45 == SUSNOR ) || ( var1 == J64 && var2 == E2 && var5 == SUIS && var45 == SUSNOR ) || ( var1 == J64 && var2 == E2 && var5 == YOUG && var45 == SUSNOR ) || ( var1 == J64 && var2 == E2 && var5 == MAGH && var45 == SUSNOR ) || ( var1 == J64 && var2 == E2 && var5 == TURQ && var45 == SUSNOR ) || ( var1 == J64 && var2 == E2 && var5 == ARGE && var45 == SUSNOR ) || ( var1 == J64 && var2 == E2 && var5 == BRES && var45 == SUSNOR ) || ( var1 == J64 && var2 == E2 && var5 == CHIL && var45 == SUSNOR ) || ( var1 == J64 && var2 == E2 && var5 == COLO && var45 == SUSNOR ) || ( var1 == J64 && var2 == E2 && var5 == AFSU && var45 == SUSNOR ) || ( var1 == J64 && var2 == E2 && var5 == MARO && var45 == SUSNOR ) || ( var1 == J64 && var2 == E2 && var5 == ISRA && var45 == SUSNOR ) || ( var1 == J64 && var2 == E2 && var5 == HONG && var45 == SUSNOR ) || ( var1 == J64 && var2 == E2 && var5 == TCHE && var45 == SUSNOR ) || ( var1 == J64 && var2 == E2 && var5 == POLO && var45 == SUSNOR ) || ( var1 == J64 && var2 == E2 && var5 == SLVQ && var45 == SUSNOR ) || ( var1 == J64 && var2 == E2 && var5 == JAPO && var45 == SUSNOR ) || ( var1 == J64 && var2 == E2 && var5 == TAIW && var45 == SUSNOR ) || ( var1 == J64 && var2 == E2 && var5 == AUST && var45 == SUSNOR ) || ( var1 == J64 && var2 == E2 && var5 == URUG && var45 == SUSNOR ) || ( var1 == J64 && var2 == E2 && var5 == DAIB && var45 == SUSNOR ) || ( var1 == J64 && var2 == E2 && var5 == DAIC && var45 == SUSNOR ) || ( var1 == J64 && var2 == E2 && var5 == DAID && var45 == SUSNOR ) || ( var1 == J64 && var2 == E2 && var5 == DAIF && var45 == SUSNOR ) || ( var1 == J64 && var2 == E2 && var5 == EUOR && var45 == SUSNOR ) || ( var1 == J64 && var2 == E2 && var5 == CETI && var45 == SUSNOR ) || ( var1 == J64 && var2 == E3 && var5 == FRAN && var45 == SUSNOR ) || ( var1 == J64 && var2 == E3 && var5 == DOTO && var45 == SUSNOR ) || ( var1 == J64 && var2 == E3 && var5 == ALLE && var45 == SUSNOR ) || ( var1 == J64 && var2 == E3 && var5 == AUTR && var45 == SUSNOR ) || ( var1 == J64 && var2 == E3 && var5 == BELG && var45 == SUSNOR ) || ( var1 == J64 && var2 == E3 && var5 == DANE && var45 == SUSNOR ) || ( var1 == J64 && var2 == E3 && var5 == ESPA && var45 == SUSNOR ) || ( var1 == J64 && var2 == E3 && var5 == FINL && var45 == SUSNOR ) || ( var1 == J64 && var2 == E3 && var5 == GRBR && var45 == SUSNOR ) || ( var1 == J64 && var2 == E3 && var5 == GREC && var45 == SUSNOR ) || ( var1 == J64 && var2 == E3 && var5 == HOLL && var45 == SUSNOR ) || ( var1 == J64 && var2 == E3 && var5 == IRLA && var45 == SUSNOR ) || ( var1 == J64 && var2 == E3 && var5 == ISLA && var45 == SUSNOR ) || ( var1 == J64 && var2 == E3 && var5 == ITAL && var45 == SUSNOR ) || ( var1 == J64 && var2 == E3 && var5 == NORV && var45 == SUSNOR ) || ( var1 == J64 && var2 == E3 && var5 == PORT && var45 == SUSNOR ) || ( var1 == J64 && var2 == E3 && var5 == SUED && var45 == SUSNOR ) || ( var1 == J64 && var2 == E3 && var5 == SUIS && var45 == SUSNOR ) || ( var1 == J64 && var2 == E3 && var5 == YOUG && var45 == SUSNOR ) || ( var1 == J64 && var2 == E3 && var5 == MAGH && var45 == SUSNOR ) || ( var1 == J64 && var2 == E3 && var5 == TURQ && var45 == SUSNOR ) || ( var1 == J64 && var2 == E3 && var5 == ARGE && var45 == SUSNOR ) || ( var1 == J64 && var2 == E3 && var5 == BRES && var45 == SUSNOR ) || ( var1 == J64 && var2 == E3 && var5 == CHIL && var45 == SUSNOR ) || ( var1 == J64 && var2 == E3 && var5 == COLO && var45 == SUSNOR ) || ( var1 == J64 && var2 == E3 && var5 == AFSU && var45 == SUSNOR ) || ( var1 == J64 && var2 == E3 && var5 == MARO && var45 == SUSNOR ) || ( var1 == J64 && var2 == E3 && var5 == ISRA && var45 == SUSNOR ) || ( var1 == J64 && var2 == E3 && var5 == HONG && var45 == SUSNOR ) || ( var1 == J64 && var2 == E3 && var5 == TCHE && var45 == SUSNOR ) || ( var1 == J64 && var2 == E3 && var5 == POLO && var45 == SUSNOR ) || ( var1 == J64 && var2 == E3 && var5 == SLVQ && var45 == SUSNOR ) || ( var1 == J64 && var2 == E3 && var5 == JAPO && var45 == SUSNOR ) || ( var1 == J64 && var2 == E3 && var5 == TAIW && var45 == SUSNOR ) || ( var1 == J64 && var2 == E3 && var5 == AUST && var45 == SUSNOR ) || ( var1 == J64 && var2 == E3 && var5 == URUG && var45 == SUSNOR ) || ( var1 == J64 && var2 == E3 && var5 == DAIB && var45 == SUSNOR ) || ( var1 == J64 && var2 == E3 && var5 == DAIC && var45 == SUSNOR ) || ( var1 == J64 && var2 == E3 && var5 == DAID && var45 == SUSNOR ) || ( var1 == J64 && var2 == E3 && var5 == DAIF && var45 == SUSNOR ) || ( var1 == J64 && var2 == E3 && var5 == EUOR && var45 == SUSNOR ) || ( var1 == J64 && var2 == E3 && var5 == CETI && var45 == SUSNOR ) || ( var1 == B64 && var2 == E1 && var5 == FRAN && var45 == SUSNOR ) || ( var1 == B64 && var2 == E1 && var5 == DOTO && var45 == SUSNOR ) || ( var1 == B64 && var2 == E1 && var5 == ALLE && var45 == SUSNOR ) || ( var1 == B64 && var2 == E1 && var5 == AUTR && var45 == SUSNOR ) || ( var1 == B64 && var2 == E1 && var5 == BELG && var45 == SUSNOR ) || ( var1 == B64 && var2 == E1 && var5 == DANE && var45 == SUSNOR ) || ( var1 == B64 && var2 == E1 && var5 == ESPA && var45 == SUSNOR ) || ( var1 == B64 && var2 == E1 && var5 == FINL && var45 == SUSNOR ) || ( var1 == B64 && var2 == E1 && var5 == GRBR && var45 == SUSNOR ) || ( var1 == B64 && var2 == E1 && var5 == GREC && var45 == SUSNOR ) || ( var1 == B64 && var2 == E1 && var5 == HOLL && var45 == SUSNOR ) || ( var1 == B64 && var2 == E1 && var5 == IRLA && var45 == SUSNOR ) || ( var1 == B64 && var2 == E1 && var5 == ISLA && var45 == SUSNOR ) || ( var1 == B64 && var2 == E1 && var5 == ITAL && var45 == SUSNOR ) || ( var1 == B64 && var2 == E1 && var5 == NORV && var45 == SUSNOR ) || ( var1 == B64 && var2 == E1 && var5 == PORT && var45 == SUSNOR ) || ( var1 == B64 && var2 == E1 && var5 == SUED && var45 == SUSNOR ) || ( var1 == B64 && var2 == E1 && var5 == SUIS && var45 == SUSNOR ) || ( var1 == B64 && var2 == E1 && var5 == YOUG && var45 == SUSNOR ) || ( var1 == B64 && var2 == E1 && var5 == MAGH && var45 == SUSREN ) || ( var1 == B64 && var2 == E1 && var5 == TURQ && var45 == SUSREN ) || ( var1 == B64 && var2 == E1 && var5 == ARGE && var45 == SUSREN ) || ( var1 == B64 && var2 == E1 && var5 == BRES && var45 == SUSREN ) || ( var1 == B64 && var2 == E1 && var5 == CHIL && var45 == SUSNOR ) || ( var1 == B64 && var2 == E1 && var5 == COLO && var45 == SUSREN ) || ( var1 == B64 && var2 == E1 && var5 == AFSU && var45 == SUSNOR ) || ( var1 == B64 && var2 == E1 && var5 == MARO && var45 == SUSREN ) || ( var1 == B64 && var2 == E1 && var5 == ISRA && var45 == SUSNOR ) || ( var1 == B64 && var2 == E1 && var5 == HONG && var45 == SUSNOR ) || ( var1 == B64 && var2 == E1 && var5 == TCHE && var45 == SUSNOR ) || ( var1 == B64 && var2 == E1 && var5 == POLO && var45 == SUSNOR ) || ( var1 == B64 && var2 == E1 && var5 == SLVQ && var45 == SUSNOR ) || ( var1 == B64 && var2 == E1 && var5 == JAPO && var45 == SUSNOR ) || ( var1 == B64 && var2 == E1 && var5 == TAIW && var45 == SUSNOR ) || ( var1 == B64 && var2 == E1 && var5 == AUST && var45 == SUSNOR ) || ( var1 == B64 && var2 == E1 && var5 == URUG && var45 == SUSNOR ) || ( var1 == B64 && var2 == E1 && var5 == DAIB && var45 == SUSREN ) || ( var1 == B64 && var2 == E1 && var5 == DAIC && var45 == SUSREN ) || ( var1 == B64 && var2 == E1 && var5 == DAID && var45 == SUSNOR ) || ( var1 == B64 && var2 == E1 && var5 == DAIF && var45 == SUSNOR ) || ( var1 == B64 && var2 == E1 && var5 == EUOR && var45 == SUSNOR ) || ( var1 == B64 && var2 == E1 && var5 == CETI && var45 == SUSREN ) || ( var1 == B64 && var2 == E2 && var5 == FRAN && var45 == SUSNOR ) || ( var1 == B64 && var2 == E2 && var5 == DOTO && var45 == SUSNOR ) || ( var1 == B64 && var2 == E2 && var5 == ALLE && var45 == SUSNOR ) || ( var1 == B64 && var2 == E2 && var5 == AUTR && var45 == SUSNOR ) || ( var1 == B64 && var2 == E2 && var5 == BELG && var45 == SUSNOR ) || ( var1 == B64 && var2 == E2 && var5 == DANE && var45 == SUSNOR ) || ( var1 == B64 && var2 == E2 && var5 == ESPA && var45 == SUSNOR ) || ( var1 == B64 && var2 == E2 && var5 == FINL && var45 == SUSNOR ) || ( var1 == B64 && var2 == E2 && var5 == GRBR && var45 == SUSNOR ) || ( var1 == B64 && var2 == E2 && var5 == GREC && var45 == SUSNOR ) || ( var1 == B64 && var2 == E2 && var5 == HOLL && var45 == SUSNOR ) || ( var1 == B64 && var2 == E2 && var5 == IRLA && var45 == SUSNOR ) || ( var1 == B64 && var2 == E2 && var5 == ISLA && var45 == SUSNOR ) || ( var1 == B64 && var2 == E2 && var5 == ITAL && var45 == SUSNOR ) || ( var1 == B64 && var2 == E2 && var5 == NORV && var45 == SUSNOR ) || ( var1 == B64 && var2 == E2 && var5 == PORT && var45 == SUSNOR ) || ( var1 == B64 && var2 == E2 && var5 == SUED && var45 == SUSNOR ) || ( var1 == B64 && var2 == E2 && var5 == SUIS && var45 == SUSNOR ) || ( var1 == B64 && var2 == E2 && var5 == YOUG && var45 == SUSNOR ) || ( var1 == B64 && var2 == E2 && var5 == MAGH && var45 == SUSREN ) || ( var1 == B64 && var2 == E2 && var5 == TURQ && var45 == SUSREN ) || ( var1 == B64 && var2 == E2 && var5 == ARGE && var45 == SUSREN ) || ( var1 == B64 && var2 == E2 && var5 == BRES && var45 == SUSREN ) || ( var1 == B64 && var2 == E2 && var5 == CHIL && var45 == SUSNOR ) || ( var1 == B64 && var2 == E2 && var5 == COLO && var45 == SUSREN ) || ( var1 == B64 && var2 == E2 && var5 == AFSU && var45 == SUSNOR ) || ( var1 == B64 && var2 == E2 && var5 == MARO && var45 == SUSREN ) || ( var1 == B64 && var2 == E2 && var5 == ISRA && var45 == SUSNOR ) || ( var1 == B64 && var2 == E2 && var5 == HONG && var45 == SUSNOR ) || ( var1 == B64 && var2 == E2 && var5 == TCHE && var45 == SUSNOR ) || ( var1 == B64 && var2 == E2 && var5 == POLO && var45 == SUSNOR ) || ( var1 == B64 && var2 == E2 && var5 == SLVQ && var45 == SUSNOR ) || ( var1 == B64 && var2 == E2 && var5 == JAPO && var45 == SUSNOR ) || ( var1 == B64 && var2 == E2 && var5 == TAIW && var45 == SUSNOR ) || ( var1 == B64 && var2 == E2 && var5 == AUST && var45 == SUSNOR ) || ( var1 == B64 && var2 == E2 && var5 == URUG && var45 == SUSNOR ) || ( var1 == B64 && var2 == E2 && var5 == DAIB && var45 == SUSREN ) || ( var1 == B64 && var2 == E2 && var5 == DAIC && var45 == SUSREN ) || ( var1 == B64 && var2 == E2 && var5 == DAID && var45 == SUSNOR ) || ( var1 == B64 && var2 == E2 && var5 == DAIF && var45 == SUSNOR ) || ( var1 == B64 && var2 == E2 && var5 == EUOR && var45 == SUSNOR ) || ( var1 == B64 && var2 == E2 && var5 == CETI && var45 == SUSREN ) || ( var1 == B64 && var2 == E3 && var5 == FRAN && var45 == SUSNOR ) || ( var1 == B64 && var2 == E3 && var5 == DOTO && var45 == SUSNOR ) || ( var1 == B64 && var2 == E3 && var5 == ALLE && var45 == SUSNOR ) || ( var1 == B64 && var2 == E3 && var5 == AUTR && var45 == SUSNOR ) || ( var1 == B64 && var2 == E3 && var5 == BELG && var45 == SUSNOR ) || ( var1 == B64 && var2 == E3 && var5 == DANE && var45 == SUSNOR ) || ( var1 == B64 && var2 == E3 && var5 == ESPA && var45 == SUSNOR ) || ( var1 == B64 && var2 == E3 && var5 == FINL && var45 == SUSNOR ) || ( var1 == B64 && var2 == E3 && var5 == GRBR && var45 == SUSNOR ) || ( var1 == B64 && var2 == E3 && var5 == GREC && var45 == SUSNOR ) || ( var1 == B64 && var2 == E3 && var5 == HOLL && var45 == SUSNOR ) || ( var1 == B64 && var2 == E3 && var5 == IRLA && var45 == SUSNOR ) || ( var1 == B64 && var2 == E3 && var5 == ISLA && var45 == SUSNOR ) || ( var1 == B64 && var2 == E3 && var5 == ITAL && var45 == SUSNOR ) || ( var1 == B64 && var2 == E3 && var5 == NORV && var45 == SUSNOR ) || ( var1 == B64 && var2 == E3 && var5 == PORT && var45 == SUSNOR ) || ( var1 == B64 && var2 == E3 && var5 == SUED && var45 == SUSNOR ) || ( var1 == B64 && var2 == E3 && var5 == SUIS && var45 == SUSNOR ) || ( var1 == B64 && var2 == E3 && var5 == YOUG && var45 == SUSNOR ) || ( var1 == B64 && var2 == E3 && var5 == MAGH && var45 == SUSREN ) || ( var1 == B64 && var2 == E3 && var5 == TURQ && var45 == SUSREN ) || ( var1 == B64 && var2 == E3 && var5 == ARGE && var45 == SUSREN ) || ( var1 == B64 && var2 == E3 && var5 == BRES && var45 == SUSREN ) || ( var1 == B64 && var2 == E3 && var5 == CHIL && var45 == SUSNOR ) || ( var1 == B64 && var2 == E3 && var5 == COLO && var45 == SUSREN ) || ( var1 == B64 && var2 == E3 && var5 == AFSU && var45 == SUSNOR ) || ( var1 == B64 && var2 == E3 && var5 == MARO && var45 == SUSREN ) || ( var1 == B64 && var2 == E3 && var5 == ISRA && var45 == SUSNOR ) || ( var1 == B64 && var2 == E3 && var5 == HONG && var45 == SUSNOR ) || ( var1 == B64 && var2 == E3 && var5 == TCHE && var45 == SUSNOR ) || ( var1 == B64 && var2 == E3 && var5 == POLO && var45 == SUSNOR ) || ( var1 == B64 && var2 == E3 && var5 == SLVQ && var45 == SUSNOR ) || ( var1 == B64 && var2 == E3 && var5 == JAPO && var45 == SUSNOR ) || ( var1 == B64 && var2 == E3 && var5 == TAIW && var45 == SUSNOR ) || ( var1 == B64 && var2 == E3 && var5 == AUST && var45 == SUSNOR ) || ( var1 == B64 && var2 == E3 && var5 == URUG && var45 == SUSNOR ) || ( var1 == B64 && var2 == E3 && var5 == DAIB && var45 == SUSREN ) || ( var1 == B64 && var2 == E3 && var5 == DAIC && var45 == SUSREN ) || ( var1 == B64 && var2 == E3 && var5 == DAID && var45 == SUSNOR ) || ( var1 == B64 && var2 == E3 && var5 == DAIF && var45 == SUSNOR ) || ( var1 == B64 && var2 == E3 && var5 == EUOR && var45 == SUSNOR ) || ( var1 == B64 && var2 == E3 && var5 == CETI && var45 == SUSREN ) || ( var1 == B64 && var2 == E5 && var5 == FRAN && var45 == SUSNOR ) || ( var1 == B64 && var2 == E5 && var5 == DOTO && var45 == SUSNOR ) || ( var1 == B64 && var2 == E5 && var5 == ALLE && var45 == SUSNOR ) || ( var1 == B64 && var2 == E5 && var5 == AUTR && var45 == SUSNOR ) || ( var1 == B64 && var2 == E5 && var5 == BELG && var45 == SUSNOR ) || ( var1 == B64 && var2 == E5 && var5 == DANE && var45 == SUSNOR ) || ( var1 == B64 && var2 == E5 && var5 == ESPA && var45 == SUSNOR ) || ( var1 == B64 && var2 == E5 && var5 == FINL && var45 == SUSNOR ) || ( var1 == B64 && var2 == E5 && var5 == GRBR && var45 == SUSNOR ) || ( var1 == B64 && var2 == E5 && var5 == GREC && var45 == SUSNOR ) || ( var1 == B64 && var2 == E5 && var5 == HOLL && var45 == SUSNOR ) || ( var1 == B64 && var2 == E5 && var5 == IRLA && var45 == SUSNOR ) || ( var1 == B64 && var2 == E5 && var5 == ISLA && var45 == SUSNOR ) || ( var1 == B64 && var2 == E5 && var5 == ITAL && var45 == SUSNOR ) || ( var1 == B64 && var2 == E5 && var5 == NORV && var45 == SUSNOR ) || ( var1 == B64 && var2 == E5 && var5 == PORT && var45 == SUSNOR ) || ( var1 == B64 && var2 == E5 && var5 == SUED && var45 == SUSNOR ) || ( var1 == B64 && var2 == E5 && var5 == SUIS && var45 == SUSNOR ) || ( var1 == B64 && var2 == E5 && var5 == YOUG && var45 == SUSNOR ) || ( var1 == B64 && var2 == E5 && var5 == MAGH && var45 == SUSNOR ) || ( var1 == B64 && var2 == E5 && var5 == TURQ && var45 == SUSNOR ) || ( var1 == B64 && var2 == E5 && var5 == ARGE && var45 == SUSNOR ) || ( var1 == B64 && var2 == E5 && var5 == BRES && var45 == SUSNOR ) || ( var1 == B64 && var2 == E5 && var5 == CHIL && var45 == SUSNOR ) || ( var1 == B64 && var2 == E5 && var5 == COLO && var45 == SUSNOR ) || ( var1 == B64 && var2 == E5 && var5 == AFSU && var45 == SUSNOR ) || ( var1 == B64 && var2 == E5 && var5 == MARO && var45 == SUSNOR ) || ( var1 == B64 && var2 == E5 && var5 == ISRA && var45 == SUSNOR ) || ( var1 == B64 && var2 == E5 && var5 == HONG && var45 == SUSNOR ) || ( var1 == B64 && var2 == E5 && var5 == TCHE && var45 == SUSNOR ) || ( var1 == B64 && var2 == E5 && var5 == POLO && var45 == SUSNOR ) || ( var1 == B64 && var2 == E5 && var5 == SLVQ && var45 == SUSNOR ) || ( var1 == B64 && var2 == E5 && var5 == JAPO && var45 == SUSNOR ) || ( var1 == B64 && var2 == E5 && var5 == TAIW && var45 == SUSNOR ) || ( var1 == B64 && var2 == E5 && var5 == AUST && var45 == SUSNOR ) || ( var1 == B64 && var2 == E5 && var5 == URUG && var45 == SUSNOR ) || ( var1 == B64 && var2 == E5 && var5 == DAIB && var45 == SUSNOR ) || ( var1 == B64 && var2 == E5 && var5 == DAIC && var45 == SUSNOR ) || ( var1 == B64 && var2 == E5 && var5 == DAID && var45 == SUSNOR ) || ( var1 == B64 && var2 == E5 && var5 == DAIF && var45 == SUSNOR ) || ( var1 == B64 && var2 == E5 && var5 == EUOR && var45 == SUSNOR ) || ( var1 == B64 && var2 == E5 && var5 == CETI && var45 == SUSNOR ) || ( var1 == F64 && var2 == E2 && var5 == FRAN && var45 == SUSNOR ) || ( var1 == F64 && var2 == E2 && var5 == DOTO && var45 == SUSNOR ) || ( var1 == F64 && var2 == E2 && var5 == ALLE && var45 == SUSNOR ) || ( var1 == F64 && var2 == E2 && var5 == AUTR && var45 == SUSNOR ) || ( var1 == F64 && var2 == E2 && var5 == BELG && var45 == SUSNOR ) || ( var1 == F64 && var2 == E2 && var5 == DANE && var45 == SUSNOR ) || ( var1 == F64 && var2 == E2 && var5 == ESPA && var45 == SUSNOR ) || ( var1 == F64 && var2 == E2 && var5 == FINL && var45 == SUSNOR ) || ( var1 == F64 && var2 == E2 && var5 == GRBR && var45 == SUSNOR ) || ( var1 == F64 && var2 == E2 && var5 == GREC && var45 == SUSNOR ) || ( var1 == F64 && var2 == E2 && var5 == HOLL && var45 == SUSNOR ) || ( var1 == F64 && var2 == E2 && var5 == IRLA && var45 == SUSNOR ) || ( var1 == F64 && var2 == E2 && var5 == ISLA && var45 == SUSNOR ) || ( var1 == F64 && var2 == E2 && var5 == ITAL && var45 == SUSNOR ) || ( var1 == F64 && var2 == E2 && var5 == NORV && var45 == SUSNOR ) || ( var1 == F64 && var2 == E2 && var5 == PORT && var45 == SUSNOR ) || ( var1 == F64 && var2 == E2 && var5 == SUED && var45 == SUSNOR ) || ( var1 == F64 && var2 == E2 && var5 == SUIS && var45 == SUSNOR ) || ( var1 == F64 && var2 == E2 && var5 == YOUG && var45 == SUSNOR ) || ( var1 == F64 && var2 == E2 && var5 == MAGH && var45 == SUSNOR ) || ( var1 == F64 && var2 == E2 && var5 == TURQ && var45 == SUSNOR ) || ( var1 == F64 && var2 == E2 && var5 == ARGE && var45 == SUSNOR ) || ( var1 == F64 && var2 == E2 && var5 == BRES && var45 == SUSNOR ) || ( var1 == F64 && var2 == E2 && var5 == CHIL && var45 == SUSNOR ) || ( var1 == F64 && var2 == E2 && var5 == COLO && var45 == SUSNOR ) || ( var1 == F64 && var2 == E2 && var5 == AFSU && var45 == SUSNOR ) || ( var1 == F64 && var2 == E2 && var5 == MARO && var45 == SUSNOR ) || ( var1 == F64 && var2 == E2 && var5 == ISRA && var45 == SUSNOR ) || ( var1 == F64 && var2 == E2 && var5 == HONG && var45 == SUSNOR ) || ( var1 == F64 && var2 == E2 && var5 == TCHE && var45 == SUSNOR ) || ( var1 == F64 && var2 == E2 && var5 == POLO && var45 == SUSNOR ) || ( var1 == F64 && var2 == E2 && var5 == SLVQ && var45 == SUSNOR ) || ( var1 == F64 && var2 == E2 && var5 == JAPO && var45 == SUSNOR ) || ( var1 == F64 && var2 == E2 && var5 == TAIW && var45 == SUSNOR ) || ( var1 == F64 && var2 == E2 && var5 == AUST && var45 == SUSNOR ) || ( var1 == F64 && var2 == E2 && var5 == URUG && var45 == SUSNOR ) || ( var1 == F64 && var2 == E2 && var5 == DAIB && var45 == SUSNOR ) || ( var1 == F64 && var2 == E2 && var5 == DAIC && var45 == SUSNOR ) || ( var1 == F64 && var2 == E2 && var5 == DAID && var45 == SUSNOR ) || ( var1 == F64 && var2 == E2 && var5 == DAIF && var45 == SUSNOR ) || ( var1 == F64 && var2 == E2 && var5 == EUOR && var45 == SUSNOR ) || ( var1 == F64 && var2 == E2 && var5 == CETI && var45 == SUSNOR ) || ( var1 == K25 && var2 == E0 && var5 == FRAN && var45 == SUSNOR ) || ( var1 == K25 && var2 == E0 && var5 == DOTO && var45 == SUSNOR ) || ( var1 == K25 && var2 == E0 && var5 == ALLE && var45 == SUSNOR ) || ( var1 == K25 && var2 == E0 && var5 == AUTR && var45 == SUSNOR ) || ( var1 == K25 && var2 == E0 && var5 == BELG && var45 == SUSNOR ) || ( var1 == K25 && var2 == E0 && var5 == DANE && var45 == SUSNOR ) || ( var1 == K25 && var2 == E0 && var5 == ESPA && var45 == SUSNOR ) || ( var1 == K25 && var2 == E0 && var5 == FINL && var45 == SUSNOR ) || ( var1 == K25 && var2 == E0 && var5 == GRBR && var45 == SUSNOR ) || ( var1 == K25 && var2 == E0 && var5 == GREC && var45 == SUSNOR ) || ( var1 == K25 && var2 == E0 && var5 == HOLL && var45 == SUSNOR ) || ( var1 == K25 && var2 == E0 && var5 == IRLA && var45 == SUSNOR ) || ( var1 == K25 && var2 == E0 && var5 == ISLA && var45 == SUSNOR ) || ( var1 == K25 && var2 == E0 && var5 == ITAL && var45 == SUSNOR ) || ( var1 == K25 && var2 == E0 && var5 == NORV && var45 == SUSNOR ) || ( var1 == K25 && var2 == E0 && var5 == PORT && var45 == SUSNOR ) || ( var1 == K25 && var2 == E0 && var5 == SUED && var45 == SUSNOR ) || ( var1 == K25 && var2 == E0 && var5 == SUIS && var45 == SUSNOR ) || ( var1 == K25 && var2 == E0 && var5 == YOUG && var45 == SUSNOR ) || ( var1 == K25 && var2 == E0 && var5 == MAGH && var45 == SUSNOR ) || ( var1 == K25 && var2 == E0 && var5 == TURQ && var45 == SUSREN ) || ( var1 == K25 && var2 == E0 && var5 == ARGE && var45 == SUSNOR ) || ( var1 == K25 && var2 == E0 && var5 == BRES && var45 == SUSNOR ) || ( var1 == K25 && var2 == E0 && var5 == CHIL && var45 == SUSNOR ) || ( var1 == K25 && var2 == E0 && var5 == COLO && var45 == SUSNOR ) || ( var1 == K25 && var2 == E0 && var5 == AFSU && var45 == SUSNOR ) || ( var1 == K25 && var2 == E0 && var5 == MARO && var45 == SUSNOR ) || ( var1 == K25 && var2 == E0 && var5 == ISRA && var45 == SUSNOR ) || ( var1 == K25 && var2 == E0 && var5 == HONG && var45 == SUSNOR ) || ( var1 == K25 && var2 == E0 && var5 == TCHE && var45 == SUSNOR ) || ( var1 == K25 && var2 == E0 && var5 == POLO && var45 == SUSNOR ) || ( var1 == K25 && var2 == E0 && var5 == SLVQ && var45 == SUSNOR ) || ( var1 == K25 && var2 == E0 && var5 == JAPO && var45 == SUSNOR ) || ( var1 == K25 && var2 == E0 && var5 == TAIW && var45 == SUSNOR ) || ( var1 == K25 && var2 == E0 && var5 == AUST && var45 == SUSNOR ) || ( var1 == K25 && var2 == E0 && var5 == URUG && var45 == SUSNOR ) || ( var1 == K25 && var2 == E0 && var5 == DAIB && var45 == SUSNOR ) || ( var1 == K25 && var2 == E0 && var5 == DAIC && var45 == SUSNOR ) || ( var1 == K25 && var2 == E0 && var5 == DAID && var45 == SUSNOR ) || ( var1 == K25 && var2 == E0 && var5 == DAIF && var45 == SUSNOR ) || ( var1 == K25 && var2 == E0 && var5 == EUOR && var45 == SUSNOR ) || ( var1 == K25 && var2 == E0 && var5 == CETI && var45 == SUSNOR ) || ( var1 == K25 && var2 == E1 && var5 == FRAN && var45 == SUSNOR ) || ( var1 == K25 && var2 == E1 && var5 == DOTO && var45 == SUSNOR ) || ( var1 == K25 && var2 == E1 && var5 == ALLE && var45 == SUSNOR ) || ( var1 == K25 && var2 == E1 && var5 == AUTR && var45 == SUSNOR ) || ( var1 == K25 && var2 == E1 && var5 == BELG && var45 == SUSNOR ) || ( var1 == K25 && var2 == E1 && var5 == DANE && var45 == SUSNOR ) || ( var1 == K25 && var2 == E1 && var5 == ESPA && var45 == SUSNOR ) || ( var1 == K25 && var2 == E1 && var5 == FINL && var45 == SUSNOR ) || ( var1 == K25 && var2 == E1 && var5 == GRBR && var45 == SUSNOR ) || ( var1 == K25 && var2 == E1 && var5 == GREC && var45 == SUSNOR ) || ( var1 == K25 && var2 == E1 && var5 == HOLL && var45 == SUSNOR ) || ( var1 == K25 && var2 == E1 && var5 == IRLA && var45 == SUSNOR ) || ( var1 == K25 && var2 == E1 && var5 == ISLA && var45 == SUSNOR ) || ( var1 == K25 && var2 == E1 && var5 == ITAL && var45 == SUSNOR ) || ( var1 == K25 && var2 == E1 && var5 == NORV && var45 == SUSNOR ) || ( var1 == K25 && var2 == E1 && var5 == PORT && var45 == SUSNOR ) || ( var1 == K25 && var2 == E1 && var5 == SUED && var45 == SUSNOR ) || ( var1 == K25 && var2 == E1 && var5 == SUIS && var45 == SUSNOR ) || ( var1 == K25 && var2 == E1 && var5 == YOUG && var45 == SUSNOR ) || ( var1 == K25 && var2 == E1 && var5 == MAGH && var45 == SUSNOR ) || ( var1 == K25 && var2 == E1 && var5 == TURQ && var45 == SUSREN ) || ( var1 == K25 && var2 == E1 && var5 == ARGE && var45 == SUSNOR ) || ( var1 == K25 && var2 == E1 && var5 == BRES && var45 == SUSNOR ) || ( var1 == K25 && var2 == E1 && var5 == CHIL && var45 == SUSNOR ) || ( var1 == K25 && var2 == E1 && var5 == COLO && var45 == SUSNOR ) || ( var1 == K25 && var2 == E1 && var5 == AFSU && var45 == SUSNOR ) || ( var1 == K25 && var2 == E1 && var5 == MARO && var45 == SUSNOR ) || ( var1 == K25 && var2 == E1 && var5 == ISRA && var45 == SUSNOR ) || ( var1 == K25 && var2 == E1 && var5 == HONG && var45 == SUSNOR ) || ( var1 == K25 && var2 == E1 && var5 == TCHE && var45 == SUSNOR ) || ( var1 == K25 && var2 == E1 && var5 == POLO && var45 == SUSNOR ) || ( var1 == K25 && var2 == E1 && var5 == SLVQ && var45 == SUSNOR ) || ( var1 == K25 && var2 == E1 && var5 == JAPO && var45 == SUSNOR ) || ( var1 == K25 && var2 == E1 && var5 == TAIW && var45 == SUSNOR ) || ( var1 == K25 && var2 == E1 && var5 == AUST && var45 == SUSNOR ) || ( var1 == K25 && var2 == E1 && var5 == URUG && var45 == SUSNOR ) || ( var1 == K25 && var2 == E1 && var5 == DAIB && var45 == SUSNOR ) || ( var1 == K25 && var2 == E1 && var5 == DAIC && var45 == SUSNOR ) || ( var1 == K25 && var2 == E1 && var5 == DAID && var45 == SUSNOR ) || ( var1 == K25 && var2 == E1 && var5 == DAIF && var45 == SUSNOR ) || ( var1 == K25 && var2 == E1 && var5 == EUOR && var45 == SUSNOR ) || ( var1 == K25 && var2 == E1 && var5 == CETI && var45 == SUSNOR ) || ( var1 == K25 && var2 == E3 && var5 == FRAN && var45 == SUSNOR ) || ( var1 == K25 && var2 == E3 && var5 == DOTO && var45 == SUSNOR ) || ( var1 == K25 && var2 == E3 && var5 == ALLE && var45 == SUSNOR ) || ( var1 == K25 && var2 == E3 && var5 == AUTR && var45 == SUSNOR ) || ( var1 == K25 && var2 == E3 && var5 == BELG && var45 == SUSNOR ) || ( var1 == K25 && var2 == E3 && var5 == DANE && var45 == SUSNOR ) || ( var1 == K25 && var2 == E3 && var5 == ESPA && var45 == SUSNOR ) || ( var1 == K25 && var2 == E3 && var5 == FINL && var45 == SUSNOR ) || ( var1 == K25 && var2 == E3 && var5 == GRBR && var45 == SUSNOR ) || ( var1 == K25 && var2 == E3 && var5 == GREC && var45 == SUSNOR ) || ( var1 == K25 && var2 == E3 && var5 == HOLL && var45 == SUSNOR ) || ( var1 == K25 && var2 == E3 && var5 == IRLA && var45 == SUSNOR ) || ( var1 == K25 && var2 == E3 && var5 == ISLA && var45 == SUSNOR ) || ( var1 == K25 && var2 == E3 && var5 == ITAL && var45 == SUSNOR ) || ( var1 == K25 && var2 == E3 && var5 == NORV && var45 == SUSNOR ) || ( var1 == K25 && var2 == E3 && var5 == PORT && var45 == SUSNOR ) || ( var1 == K25 && var2 == E3 && var5 == SUED && var45 == SUSNOR ) || ( var1 == K25 && var2 == E3 && var5 == SUIS && var45 == SUSNOR ) || ( var1 == K25 && var2 == E3 && var5 == YOUG && var45 == SUSNOR ) || ( var1 == K25 && var2 == E3 && var5 == MAGH && var45 == SUSNOR ) || ( var1 == K25 && var2 == E3 && var5 == TURQ && var45 == SUSREN ) || ( var1 == K25 && var2 == E3 && var5 == ARGE && var45 == SUSNOR ) || ( var1 == K25 && var2 == E3 && var5 == BRES && var45 == SUSNOR ) || ( var1 == K25 && var2 == E3 && var5 == CHIL && var45 == SUSNOR ) || ( var1 == K25 && var2 == E3 && var5 == COLO && var45 == SUSNOR ) || ( var1 == K25 && var2 == E3 && var5 == AFSU && var45 == SUSNOR ) || ( var1 == K25 && var2 == E3 && var5 == MARO && var45 == SUSNOR ) || ( var1 == K25 && var2 == E3 && var5 == ISRA && var45 == SUSNOR ) || ( var1 == K25 && var2 == E3 && var5 == HONG && var45 == SUSNOR ) || ( var1 == K25 && var2 == E3 && var5 == TCHE && var45 == SUSNOR ) || ( var1 == K25 && var2 == E3 && var5 == POLO && var45 == SUSNOR ) || ( var1 == K25 && var2 == E3 && var5 == SLVQ && var45 == SUSNOR ) || ( var1 == K25 && var2 == E3 && var5 == JAPO && var45 == SUSNOR ) || ( var1 == K25 && var2 == E3 && var5 == TAIW && var45 == SUSNOR ) || ( var1 == K25 && var2 == E3 && var5 == AUST && var45 == SUSNOR ) || ( var1 == K25 && var2 == E3 && var5 == URUG && var45 == SUSNOR ) || ( var1 == K25 && var2 == E3 && var5 == DAIB && var45 == SUSNOR ) || ( var1 == K25 && var2 == E3 && var5 == DAIC && var45 == SUSNOR ) || ( var1 == K25 && var2 == E3 && var5 == DAID && var45 == SUSNOR ) || ( var1 == K25 && var2 == E3 && var5 == DAIF && var45 == SUSNOR ) || ( var1 == K25 && var2 == E3 && var5 == EUOR && var45 == SUSNOR ) || ( var1 == K25 && var2 == E3 && var5 == CETI && var45 == SUSNOR ) || ( var1 == V25 && var2 == E2 && var5 == FRAN && var45 == SUSNOR ) || ( var1 == V25 && var2 == E2 && var5 == DOTO && var45 == SUSNOR ) || ( var1 == V25 && var2 == E2 && var5 == ALLE && var45 == SUSNOR ) || ( var1 == V25 && var2 == E2 && var5 == AUTR && var45 == SUSNOR ) || ( var1 == V25 && var2 == E2 && var5 == BELG && var45 == SUSNOR ) || ( var1 == V25 && var2 == E2 && var5 == DANE && var45 == SUSNOR ) || ( var1 == V25 && var2 == E2 && var5 == ESPA && var45 == SUSNOR ) || ( var1 == V25 && var2 == E2 && var5 == FINL && var45 == SUSNOR ) || ( var1 == V25 && var2 == E2 && var5 == GRBR && var45 == SUSNOR ) || ( var1 == V25 && var2 == E2 && var5 == GREC && var45 == SUSNOR ) || ( var1 == V25 && var2 == E2 && var5 == HOLL && var45 == SUSNOR ) || ( var1 == V25 && var2 == E2 && var5 == IRLA && var45 == SUSNOR ) || ( var1 == V25 && var2 == E2 && var5 == ISLA && var45 == SUSNOR ) || ( var1 == V25 && var2 == E2 && var5 == ITAL && var45 == SUSNOR ) || ( var1 == V25 && var2 == E2 && var5 == NORV && var45 == SUSNOR ) || ( var1 == V25 && var2 == E2 && var5 == PORT && var45 == SUSNOR ) || ( var1 == V25 && var2 == E2 && var5 == SUED && var45 == SUSNOR ) || ( var1 == V25 && var2 == E2 && var5 == SUIS && var45 == SUSNOR ) || ( var1 == V25 && var2 == E2 && var5 == YOUG && var45 == SUSNOR ) || ( var1 == V25 && var2 == E2 && var5 == MAGH && var45 == SUSNOR ) || ( var1 == V25 && var2 == E2 && var5 == TURQ && var45 == SUSNOR ) || ( var1 == V25 && var2 == E2 && var5 == ARGE && var45 == SUSNOR ) || ( var1 == V25 && var2 == E2 && var5 == BRES && var45 == SUSNOR ) || ( var1 == V25 && var2 == E2 && var5 == CHIL && var45 == SUSNOR ) || ( var1 == V25 && var2 == E2 && var5 == COLO && var45 == SUSNOR ) || ( var1 == V25 && var2 == E2 && var5 == AFSU && var45 == SUSNOR ) || ( var1 == V25 && var2 == E2 && var5 == MARO && var45 == SUSNOR ) || ( var1 == V25 && var2 == E2 && var5 == ISRA && var45 == SUSNOR ) || ( var1 == V25 && var2 == E2 && var5 == HONG && var45 == SUSNOR ) || ( var1 == V25 && var2 == E2 && var5 == TCHE && var45 == SUSNOR ) || ( var1 == V25 && var2 == E2 && var5 == POLO && var45 == SUSNOR ) || ( var1 == V25 && var2 == E2 && var5 == SLVQ && var45 == SUSNOR ) || ( var1 == V25 && var2 == E2 && var5 == JAPO && var45 == SUSNOR ) || ( var1 == V25 && var2 == E2 && var5 == TAIW && var45 == SUSNOR ) || ( var1 == V25 && var2 == E2 && var5 == AUST && var45 == SUSNOR ) || ( var1 == V25 && var2 == E2 && var5 == URUG && var45 == SUSNOR ) || ( var1 == V25 && var2 == E2 && var5 == DAIB && var45 == SUSNOR ) || ( var1 == V25 && var2 == E2 && var5 == DAIC && var45 == SUSNOR ) || ( var1 == V25 && var2 == E2 && var5 == DAID && var45 == SUSNOR ) || ( var1 == V25 && var2 == E2 && var5 == DAIF && var45 == SUSNOR ) || ( var1 == V25 && var2 == E2 && var5 == EUOR && var45 == SUSNOR ) || ( var1 == V25 && var2 == E2 && var5 == CETI && var45 == SUSNOR ) ) ); ( ( ( var5 == FRAN && var70 == SSETAP ) || ( var5 == DOTO && var70 == SSETAP ) || ( var5 == ALLE && var70 == SSETAP ) || ( var5 == AUTR && var70 == SSETAP ) || ( var5 == BELG && var70 == SSETAP ) || ( var5 == DANE && var70 == ETAP03 ) || ( var5 == ESPA && var70 == SSETAP ) || ( var5 == FINL && var70 == ETAP03 ) || ( var5 == GRBR && var70 == SSETAP ) || ( var5 == GREC && var70 == SSETAP ) || ( var5 == HOLL && var70 == SSETAP ) || ( var5 == IRLA && var70 == SSETAP ) || ( var5 == ISLA && var70 == SSETAP ) || ( var5 == ITAL && var70 == SSETAP ) || ( var5 == NORV && var70 == ETAP03 ) || ( var5 == PORT && var70 == SSETAP ) || ( var5 == SUED && var70 == ETAP03 ) || ( var5 == SUIS && var70 == SSETAP ) || ( var5 == YOUG && var70 == SSETAP ) || ( var5 == MAGH && var70 == SSETAP ) || ( var5 == TURQ && var70 == SSETAP ) || ( var5 == ARGE && var70 == SSETAP ) || ( var5 == BRES && var70 == SSETAP ) || ( var5 == CHIL && var70 == SSETAP ) || ( var5 == COLO && var70 == SSETAP ) || ( var5 == AFSU && var70 == SSETAP ) || ( var5 == MARO && var70 == SSETAP ) || ( var5 == ISRA && var70 == SSETAP ) || ( var5 == HONG && var70 == SSETAP ) || ( var5 == TCHE && var70 == SSETAP ) || ( var5 == POLO && var70 == SSETAP ) || ( var5 == SLVQ && var70 == SSETAP ) || ( var5 == JAPO && var70 == SSETAP ) || ( var5 == TAIW && var70 == SSETAP ) || ( var5 == AUST && var70 == ETAP01 ) || ( var5 == URUG && var70 == SSETAP ) || ( var5 == DAIB && var70 == SSETAP ) || ( var5 == DAIC && var70 == SSETAP ) || ( var5 == DAID && var70 == SSETAP ) || ( var5 == DAIF && var70 == SSETAP ) || ( var5 == EUOR && var70 == SSETAP ) || ( var5 == CETI && var70 == SSETAP ) ) ); ( ( ( var5 == FRAN && var71 == FSTPO ) || ( var5 == DOTO && var71 == FSTPO ) || ( var5 == ALLE && var71 == FSTPO ) || ( var5 == AUTR && var71 == FSTPO ) || ( var5 == BELG && var71 == FSTPO ) || ( var5 == DANE && var71 == FSTPO ) || ( var5 == ESPA && var71 == FSTPO ) || ( var5 == FINL && var71 == FSTPO ) || ( var5 == GRBR && var71 == FSTPO ) || ( var5 == GREC && var71 == FSTPO ) || ( var5 == HOLL && var71 == FSTPO ) || ( var5 == IRLA && var71 == FSTPO ) || ( var5 == ISLA && var71 == FSTPO ) || ( var5 == ITAL && var71 == FSTPO ) || ( var5 == NORV && var71 == FSTPO ) || ( var5 == PORT && var71 == FSTPO ) || ( var5 == SUED && var71 == FSTPO ) || ( var5 == SUIS && var71 == FSTPO ) || ( var5 == YOUG && var71 == FSTPO ) || ( var5 == MAGH && var71 == FSTPO ) || ( var5 == TURQ && var71 == FSTPO ) || ( var5 == ARGE && var71 == FSTPO ) || ( var5 == BRES && var71 == FSTPO ) || ( var5 == CHIL && var71 == FSTPO ) || ( var5 == COLO && var71 == FSTPO ) || ( var5 == AFSU && var71 == FSTPO ) || ( var5 == MARO && var71 == FSTPO ) || ( var5 == ISRA && var71 == FSTPO ) || ( var5 == HONG && var71 == FSTPO ) || ( var5 == TCHE && var71 == FSTPO ) || ( var5 == POLO && var71 == FSTPO ) || ( var5 == SLVQ && var71 == FSTPO ) || ( var5 == JAPO && var71 == FSTPO ) || ( var5 == TAIW && var71 == FSTPO ) || ( var5 == AUST && var71 == FSTPO ) || ( var5 == URUG && var71 == FSTPO ) || ( var5 == DAIB && var71 == FSTPO ) || ( var5 == DAIC && var71 == FSTPO ) || ( var5 == DAID && var71 == FSTPO ) || ( var5 == DAIF && var71 == FSTPO ) || ( var5 == EUOR && var71 == FSTPO ) || ( var5 == CETI && var71 == FSTPO ) ) ); ( ( ( var1 == S64 && var2 == E1 && var85 == SCDCOF && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var85 == SCDCOF && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var85 == CDCOF && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var85 == CDCOF && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var85 == SCDCOF && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var85 == SCDCOF && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var85 == CDCOF && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var85 == CDCOF && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var85 == SCDCOF && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var85 == SCDCOF && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var85 == SCDCOF && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var85 == CDCOF && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var85 == CDCOF && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var85 == CDCOF && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var85 == SCDCOF && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var85 == SCDCOF && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var85 == SCDCOF && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var85 == SCDCOF && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var85 == SCDCOF && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var85 == SCDCOF && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var85 == SCDCOF && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var85 == SCDCOF && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var85 == CDCOF && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var85 == CDCOF && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var85 == CDCOF && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var85 == CDCOF && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var85 == CDCOF && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var85 == CDCOF && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var85 == CDCOF && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var85 == CDCOF && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var85 == SCDCOF && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var85 == SCDCOF && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var85 == CDCOF && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var85 == CDCOF && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var85 == SCDCOF && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var85 == CDCOF && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var85 == SCDCOF && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var85 == CDCOF && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var85 == SCDCOF && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var85 == SCDCOF && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var85 == CDCOF && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var85 == CDCOF && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var85 == SCDCOF && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var85 == SCDCOF && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var85 == SCDCOF && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var85 == CDCOF && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var85 == CDCOF && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var85 == CDCOF && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var85 == SCDCOF && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var85 == SCDCOF && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var85 == SCDCOF && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var85 == SCDCOF && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var85 == SCDCOF && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var85 == CDCOF && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var85 == CDCOF && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var85 == CDCOF && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var85 == CDCOF && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var85 == CDCOF && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var85 == SCDCOF && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var85 == SCDCOF && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var85 == CDCOF && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var85 == CDCOF && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var85 == SCDCOF && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var85 == SCDCOF && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var85 == SCDCOF && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var85 == CDCOF && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var85 == CDCOF && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var85 == CDCOF && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var85 == SCDCOF && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var85 == SCDCOF && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var85 == SCDCOF && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var85 == SCDCOF && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var85 == SCDCOF && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var85 == SCDCOF && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var85 == CDCOF && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var85 == CDCOF && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var85 == CDCOF && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var85 == CDCOF && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var85 == CDCOF && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var85 == CDCOF && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var85 == SCDCOF && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var85 == SCDCOF && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var85 == CDCOF && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var85 == CDCOF && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var85 == CDCOF && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var85 == SCDCOF && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var85 == SCDCOF && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var85 == CDCOF && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var85 == CDCOF && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var85 == SCDCOF && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var85 == SCDCOF && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var85 == SCDCOF && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) ) ); ( ( ( var1 == S64 && var2 == E1 && var29 == DRA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var29 == DRA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var29 == DRA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var29 == DRA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var29 == DRA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var29 == DRA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var29 == DRA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var29 == DRA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var29 == DRA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var29 == DRA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var29 == DRA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var29 == DRA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var29 == DRA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var29 == DRA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var29 == DRA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var29 == DRA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var29 == DRA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var29 == DRA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var29 == CUI && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var29 == DRA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var29 == CUI && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var29 == DRA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var29 == DRA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var29 == CUI && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var29 == CUI && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var29 == DRA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var29 == DRA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var29 == DRA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var29 == CUI && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var29 == CUI && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var29 == CUI && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var29 == DRA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var29 == DRA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var29 == DRA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var29 == DRA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var29 == DRA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var29 == DRA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var29 == DRA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var29 == CUI && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var29 == CUI && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var29 == DRA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var29 == DRA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var29 == DRA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var29 == DRA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var29 == DRA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var29 == DRA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var29 == DRA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var29 == DRA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var29 == DRA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var29 == DRA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var29 == DRA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var29 == CUI && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var29 == DRA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var29 == DRA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var29 == DRA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var29 == DRA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var29 == DRA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) ) ); ( ( ( var1 == S64 && var2 == E1 && var35 == SRIDAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var35 == SRIDAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var35 == SRIDAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var35 == SRIDAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var35 == SRIDAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var35 == SRIDAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var35 == SRIDAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var35 == SRIDAR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var35 == SRIDAR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var35 == SRIDAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var35 == SRIDAR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var35 == SRIDAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var35 == SRIDAR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var35 == SRIDAR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var35 == SRIDAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var35 == SRIDAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var35 == RIDOAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var35 == SRIDAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var35 == SRIDAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var35 == SRIDAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var35 == SRIDAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var35 == SRIDAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var35 == SRIDAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var35 == SRIDAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var35 == SRIDAR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var35 == SRIDAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var35 == SRIDAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var35 == SRIDAR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var35 == SRIDAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var35 == SRIDAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var35 == SRIDAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var35 == RIDOAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var35 == RIDOAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var35 == SRIDAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var35 == SRIDAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var35 == SRIDAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var35 == SRIDAR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var35 == SRIDAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var35 == SRIDAR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var35 == SRIDAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var35 == SRIDAR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var35 == SRIDAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var35 == SRIDAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var35 == SRIDAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var35 == RIDOAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var35 == SRIDAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var35 == SRIDAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var35 == SRIDAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var35 == SRIDAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var35 == SRIDAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) ) ); ( ( ( var1 == S64 && var2 == E1 && var50 == SASURV && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var50 == SASURV && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var50 == SASURV && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var50 == SASURV && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var50 == SASURV && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var50 == SASURV && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var50 == SASURV && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var50 == SASURV && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var50 == SASURV && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var50 == SASURV && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var50 == SASURV && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var50 == SASURV && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var50 == SASURV && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var50 == SASURV && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var50 == SASURV && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var50 == SASURV && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var50 == SASURV && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var50 == SASURV && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var50 == SASURV && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var50 == SASURV && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var50 == SASURV && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var50 == SASURV && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var50 == SASURV && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var50 == SASURV && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var50 == SASURV && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var50 == SASURV && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var50 == SASURV && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var50 == SASURV && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var50 == SASURV && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var50 == SASURV && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var50 == SASURV && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var50 == SASURV && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var50 == SASURV && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var50 == SASURV && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var50 == SASURV && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var50 == SASURV && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var50 == SASURV && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var50 == SASURV && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var50 == SASURV && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var50 == SASURV && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var50 == SASURV && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var50 == SASURV && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var50 == SASURV && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var50 == SASURV && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var50 == SASURV && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var50 == SASURV && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var50 == SASURV && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var50 == SASURV && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) ) ); ( ( ( var1 == S64 && var2 == E1 && var68 == PSCOPO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var68 == PSCOPO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var68 == PSCOPO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var68 == PSCOPO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var68 == PSCOPO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var68 == PSCOPO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var68 == PSCOPO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var68 == PSCOPO && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var68 == PSCOPO && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var68 == PSCOPO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var68 == PSCOPO && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var68 == PSCOPO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var68 == PSCOPO && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var68 == PSCOPO && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var68 == PSCOPO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var68 == PSCOMI && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var68 == PSCOMI && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var68 == PSCOMI && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var68 == PSCOMI && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var68 == PSCOMI && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var68 == PSCOMI && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var68 == PSCOMI && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var68 == PSCOMI && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var68 == PSCOMI && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var68 == PSCOPO && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var68 == PSCOPO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var68 == PSCOPO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var68 == PSCOPO && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var68 == PSCOPO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var68 == PSCOMI && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var68 == PSCOMI && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var68 == PSCOPO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var68 == PSCOPO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var68 == PSCOPO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var68 == PSCOPO && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var68 == PSCOPO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var68 == PSCOPO && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var68 == PSCOPO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var68 == PSCOPO && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var68 == PSCOPO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var68 == PSCOMI && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var68 == PSCOMI && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var68 == PSCOMR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var68 == PSCOPO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var68 == PSCOPO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var68 == PSCOPO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var68 == PSCOPO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var68 == PSCOPO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) ) ); ( ( ( var1 == S64 && var2 == E1 && var12 == SGALER && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var12 == SGALER && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var12 == GALERI && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var12 == GALERI && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var12 == SGALER && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var12 == SGALER && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var12 == GALERI && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var12 == GALERI && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var12 == SGALER && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var12 == SGALER && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var12 == SGALER && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var12 == GALERI && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var12 == GALERI && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var12 == GALERI && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var12 == SGALER && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var12 == SGALER && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var12 == SGALER && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var12 == SGALER && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var12 == SGALER && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var12 == SGALER && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var12 == SGALER && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var12 == SGALER && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var12 == GALERI && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var12 == GALERI && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var12 == GALERI && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var12 == GALERI && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var12 == GALERI && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var12 == GALERI && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var12 == GALERI && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var12 == GALERI && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var12 == SGALER && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var12 == SGALER && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var12 == GALERI && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var12 == GALERI && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var12 == SGALER && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var12 == SGALER && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var12 == SGALER && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var12 == SGALER && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var12 == GALERI && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var12 == GALERI && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var12 == SGALER && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var12 == SGALER && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var12 == SGALER && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var12 == GALERI && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var12 == GALERI && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var12 == GALERI && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var12 == SGALER && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var12 == SGALER && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var12 == SGALER && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var12 == SGALER && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var12 == SGALER && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var12 == GALERI && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var12 == GALERI && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var12 == GALERI && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var12 == GALERI && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var12 == GALERI && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var12 == SGALER && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var12 == SGALER && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var12 == GALERI && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var12 == GALERI && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var12 == SGALER && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var12 == SGALER && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var12 == SGALER && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var12 == GALERI && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var12 == GALERI && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var12 == GALERI && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var12 == SGALER && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var12 == SGALER && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var12 == SGALER && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var12 == SGALER && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var12 == SGALER && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var12 == SGALER && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var12 == GALERI && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var12 == GALERI && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var12 == GALERI && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var12 == GALERI && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var12 == GALERI && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var12 == GALERI && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var12 == SGALER && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var12 == SGALER && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var12 == GALERI && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var12 == GALERI && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var12 == SGALER && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var12 == GALERI && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var12 == SGALER && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var12 == SGALER && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var12 == GALERI && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var12 == GALERI && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var12 == SGALER && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var12 == GALERI && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var12 == SGALER && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var12 == GALERI && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var12 == SGALER && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var12 == GALERI && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) ) ); ( ( ( var1 == S64 && var2 == E1 && var69 == PSPAMI && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var69 == PSPAMI && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var69 == PSPAMI && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var69 == PSPAMI && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var69 == PSPAMI && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var69 == PSPAMI && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var69 == PSPAMI && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var69 == PSPAMI && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var69 == PSPAMI && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var69 == PSPAMI && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var69 == PSPAMI && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var69 == PSPAMI && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var69 == PSPAMI && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var69 == PSPAMI && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var69 == PSPAMI && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var69 == PSPAMI && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var69 == PSPAMI && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var69 == PSPAMI && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var69 == PSPAMI && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var69 == PSPAMI && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var69 == PSPAMI && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var69 == PSPAMI && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var69 == PSPAMI && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var69 == PSPAMI && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var69 == PSPAMI && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var69 == PSPAMI && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var69 == PSPAMI && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var69 == PSPAMI && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var69 == PSPAMI && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var69 == PSPAMI && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var69 == PSPAMI && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var69 == PSPAMI && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var69 == PSPAMI && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var69 == PSPAMI && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var69 == PSPAMI && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var69 == PSPAMI && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var69 == PSPAMI && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var69 == PSPAMI && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var69 == PSPAMI && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var69 == PSPAMI && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var69 == PSPAMI && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var69 == PSPAMI && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var69 == PSPAMR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var69 == PSPAMI && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var69 == PSPAMI && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var69 == PSPAMI && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var69 == PSPAMI && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var69 == PSPAMI && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) ) ); ( ( ( var1 == S64 && var2 == E1 && var73 == TBOR01 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var73 == TBOR01 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var73 == TBOR02 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var73 == TBOR02 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var73 == TBOR01 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var73 == TBOR01 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var73 == TBOR01 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var73 == TBOR02 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var73 == TBOR02 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var73 == TBOR02 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var73 == TBOR02 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var73 == TBOR02 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var73 == TBOR02 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var73 == TBOR02 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var73 == TBOR02 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var73 == TBOR03 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var73 == TBOR03 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var73 == TBOR02 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var73 == TBOR03 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var73 == TBOR02 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var73 == TBOR02 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var73 == TBOR03 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var73 == TBOR03 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var73 == TBOR03 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var73 == TBOR02 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var73 == TBOR02 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var73 == TBOR02 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var73 == TBOR02 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var73 == TBOR02 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var73 == TBOR03 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var73 == TBOR03 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var73 == TBOR01 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var73 == TBOR01 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var73 == TBOR01 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var73 == TBOR02 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var73 == TBOR02 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var73 == TBOR02 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var73 == TBOR02 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var73 == TBOR02 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var73 == TBOR02 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var73 == TBOR03 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var73 == TBOR03 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var73 == TBOR05 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var73 == TBOR02 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var73 == TBOR02 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var73 == TBOR00 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var73 == TBOR01 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var73 == TBOR02 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) ) ); ( ( ( var1 == S64 && var2 == E1 && var74 == PBOR01 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var74 == PBOR01 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var74 == PBOR02 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var74 == PBOR02 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var74 == PBOR01 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var74 == PBOR01 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var74 == PBOR01 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var74 == PBOR02 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var74 == PBOR02 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var74 == PBOR02 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var74 == PBOR02 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var74 == PBOR02 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var74 == PBOR02 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var74 == PBOR02 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var74 == PBOR02 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var74 == PBOR03 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var74 == PBOR03 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var74 == PBOR02 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var74 == PBOR03 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var74 == PBOR02 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var74 == PBOR02 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var74 == PBOR03 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var74 == PBOR03 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var74 == PBOR03 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var74 == PBOR02 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var74 == PBOR02 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var74 == PBOR02 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var74 == PBOR02 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var74 == PBOR02 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var74 == PBOR03 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var74 == PBOR03 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var74 == PBOR01 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var74 == PBOR01 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var74 == PBOR01 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var74 == PBOR02 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var74 == PBOR02 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var74 == PBOR02 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var74 == PBOR02 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var74 == PBOR02 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var74 == PBOR02 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var74 == PBOR03 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var74 == PBOR03 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var74 == PBOR05 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var74 == PBOR02 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var74 == PBOR02 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var74 == PBOR00 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var74 == PBOR01 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var74 == PBOR02 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) ) ); ( ( ( var1 == S64 && var2 == E1 && var5 == FRAN && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == FRAN && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DOTO && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DOTO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ALLE && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ALLE && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == AUTR && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == AUTR && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == BELG && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == BELG && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DANE && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DANE && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ESPA && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ESPA && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == FINL && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == FINL && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == GRBR && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == GRBR && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == GREC && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == GREC && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == HOLL && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == HOLL && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == IRLA && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == IRLA && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ISLA && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ISLA && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ITAL && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ITAL && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == NORV && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == NORV && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == PORT && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == PORT && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == SUED && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == SUED && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == SUIS && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == SUIS && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == YOUG && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == YOUG && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == MAGH && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == MAGH && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == TURQ && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == TURQ && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ARGE && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ARGE && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == BRES && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == BRES && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == CHIL && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == CHIL && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == COLO && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == COLO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == AFSU && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == AFSU && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == MARO && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == MARO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ISRA && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ISRA && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == HONG && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == HONG && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == TCHE && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == TCHE && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == POLO && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == POLO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == SLVQ && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == SLVQ && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == JAPO && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == JAPO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == TAIW && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == TAIW && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == AUST && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == AUST && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == URUG && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == URUG && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DAIB && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DAIB && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DAIC && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DAIC && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DAID && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DAID && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DAIF && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DAIF && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == EUOR && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == EUOR && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == CETI && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == CETI && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == FRAN && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == FRAN && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DOTO && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DOTO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ALLE && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ALLE && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == AUTR && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == AUTR && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == BELG && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == BELG && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DANE && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DANE && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ESPA && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ESPA && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == FINL && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == FINL && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == GRBR && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == GRBR && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == GREC && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == GREC && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == HOLL && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == HOLL && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == IRLA && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == IRLA && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ISLA && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ISLA && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ITAL && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ITAL && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == NORV && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == NORV && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == PORT && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == PORT && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == SUED && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == SUED && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == SUIS && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == SUIS && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == YOUG && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == YOUG && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == MAGH && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == MAGH && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == TURQ && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == TURQ && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ARGE && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ARGE && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == BRES && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == BRES && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == CHIL && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == CHIL && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == COLO && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == COLO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == AFSU && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == AFSU && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == MARO && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == MARO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ISRA && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ISRA && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == HONG && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == HONG && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == TCHE && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == TCHE && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == POLO && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == POLO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == SLVQ && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == SLVQ && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == JAPO && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == JAPO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == TAIW && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == TAIW && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == AUST && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == AUST && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == URUG && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == URUG && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DAIB && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DAIB && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DAIC && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DAIC && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DAID && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DAID && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DAIF && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DAIF && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == EUOR && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == EUOR && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == CETI && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == CETI && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == FRAN && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == FRAN && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == FRAN && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == FRAN && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == FRAN && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == FRAN && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == FRAN && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == FRAN && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == FRAN && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == FRAN && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == FRAN && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == FRAN && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == FRAN && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == FRAN && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == FRAN && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == FRAN && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == FRAN && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == FRAN && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DOTO && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == DOTO && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == DOTO && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DOTO && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == DOTO && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == DOTO && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DOTO && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == DOTO && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == DOTO && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DOTO && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == DOTO && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == DOTO && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DOTO && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == DOTO && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == DOTO && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DOTO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == DOTO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == DOTO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ALLE && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == ALLE && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == ALLE && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ALLE && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == ALLE && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == ALLE && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ALLE && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == ALLE && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == ALLE && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ALLE && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == ALLE && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == ALLE && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ALLE && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == ALLE && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == ALLE && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ALLE && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == ALLE && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == ALLE && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == AUTR && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == AUTR && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == AUTR && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == AUTR && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == AUTR && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == AUTR && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == AUTR && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == AUTR && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == AUTR && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == AUTR && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == AUTR && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == AUTR && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == AUTR && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == AUTR && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == AUTR && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == AUTR && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == AUTR && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == AUTR && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == BELG && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == BELG && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == BELG && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == BELG && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == BELG && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == BELG && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == BELG && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == BELG && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == BELG && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == BELG && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == BELG && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == BELG && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == BELG && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == BELG && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == BELG && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == BELG && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == BELG && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == BELG && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DANE && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == DANE && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == DANE && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DANE && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == DANE && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == DANE && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DANE && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == DANE && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == DANE && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DANE && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == DANE && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == DANE && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DANE && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == DANE && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == DANE && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DANE && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == DANE && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == DANE && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ESPA && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == ESPA && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == ESPA && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ESPA && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == ESPA && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == ESPA && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ESPA && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == ESPA && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == ESPA && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ESPA && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == ESPA && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == ESPA && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ESPA && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == ESPA && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == ESPA && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ESPA && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == ESPA && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == ESPA && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == FINL && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == FINL && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == FINL && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == FINL && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == FINL && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == FINL && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == FINL && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == FINL && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == FINL && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == FINL && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == FINL && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == FINL && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == FINL && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == FINL && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == FINL && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == FINL && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == FINL && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == FINL && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == GRBR && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == GRBR && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == GRBR && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == GRBR && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == GRBR && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == GRBR && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == GRBR && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == GRBR && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == GRBR && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == GRBR && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == GRBR && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == GRBR && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == GRBR && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == GRBR && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == GRBR && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == GRBR && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == GRBR && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == GRBR && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == GREC && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == GREC && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == GREC && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == GREC && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == GREC && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == GREC && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == GREC && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == GREC && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == GREC && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == GREC && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == GREC && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == GREC && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == GREC && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == GREC && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == GREC && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == GREC && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == GREC && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == GREC && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == HOLL && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == HOLL && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == HOLL && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == HOLL && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == HOLL && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == HOLL && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == HOLL && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == HOLL && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == HOLL && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == HOLL && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == HOLL && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == HOLL && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == HOLL && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == HOLL && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == HOLL && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == HOLL && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == HOLL && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == HOLL && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == IRLA && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == IRLA && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == IRLA && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == IRLA && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == IRLA && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == IRLA && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == IRLA && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == IRLA && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == IRLA && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == IRLA && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == IRLA && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == IRLA && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == IRLA && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == IRLA && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == IRLA && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == IRLA && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == IRLA && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == IRLA && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ISLA && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == ISLA && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == ISLA && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ISLA && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == ISLA && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == ISLA && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ISLA && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == ISLA && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == ISLA && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ISLA && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == ISLA && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == ISLA && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ISLA && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == ISLA && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == ISLA && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ISLA && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == ISLA && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == ISLA && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ITAL && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == ITAL && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == ITAL && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ITAL && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == ITAL && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == ITAL && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ITAL && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == ITAL && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == ITAL && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ITAL && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == ITAL && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == ITAL && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ITAL && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == ITAL && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == ITAL && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ITAL && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == ITAL && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == ITAL && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == NORV && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == NORV && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == NORV && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == NORV && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == NORV && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == NORV && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == NORV && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == NORV && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == NORV && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == NORV && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == NORV && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == NORV && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == NORV && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == NORV && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == NORV && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == NORV && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == NORV && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == NORV && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == PORT && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == PORT && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == PORT && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == PORT && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == PORT && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == PORT && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == PORT && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == PORT && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == PORT && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == PORT && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == PORT && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == PORT && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == PORT && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == PORT && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == PORT && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == PORT && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == PORT && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == PORT && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == SUED && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == SUED && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == SUED && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == SUED && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == SUED && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == SUED && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == SUED && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == SUED && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == SUED && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == SUED && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == SUED && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == SUED && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == SUED && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == SUED && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == SUED && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == SUED && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == SUED && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == SUED && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == SUIS && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == SUIS && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == SUIS && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == SUIS && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == SUIS && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == SUIS && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == SUIS && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == SUIS && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == SUIS && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == SUIS && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == SUIS && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == SUIS && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == SUIS && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == SUIS && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == SUIS && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == SUIS && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == SUIS && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == SUIS && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == YOUG && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == YOUG && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == YOUG && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == YOUG && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == YOUG && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == YOUG && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == YOUG && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == YOUG && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == YOUG && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == YOUG && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == YOUG && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == YOUG && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == YOUG && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == YOUG && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == YOUG && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == YOUG && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == YOUG && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == YOUG && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == MAGH && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == MAGH && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == MAGH && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == MAGH && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == MAGH && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == MAGH && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == MAGH && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == MAGH && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == MAGH && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == MAGH && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == MAGH && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == MAGH && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == MAGH && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == MAGH && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == MAGH && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == MAGH && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == MAGH && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == MAGH && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == TURQ && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == TURQ && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == TURQ && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == TURQ && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == TURQ && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == TURQ && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == TURQ && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == TURQ && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == TURQ && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == TURQ && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == TURQ && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == TURQ && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == TURQ && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == TURQ && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == TURQ && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == TURQ && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == TURQ && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == TURQ && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ARGE && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == ARGE && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == ARGE && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ARGE && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == ARGE && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == ARGE && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ARGE && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == ARGE && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == ARGE && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ARGE && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == ARGE && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == ARGE && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ARGE && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == ARGE && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == ARGE && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ARGE && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == ARGE && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == ARGE && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == BRES && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == BRES && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == BRES && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == BRES && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == BRES && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == BRES && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == BRES && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == BRES && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == BRES && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == BRES && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == BRES && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == BRES && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == BRES && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == BRES && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == BRES && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == BRES && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == BRES && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == BRES && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == CHIL && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == CHIL && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == CHIL && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == CHIL && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == CHIL && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == CHIL && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == CHIL && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == CHIL && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == CHIL && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == CHIL && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == CHIL && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == CHIL && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == CHIL && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == CHIL && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == CHIL && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == CHIL && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == CHIL && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == CHIL && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == COLO && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == COLO && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == COLO && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == COLO && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == COLO && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == COLO && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == COLO && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == COLO && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == COLO && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == COLO && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == COLO && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == COLO && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == COLO && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == COLO && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == COLO && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == COLO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == COLO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == COLO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == AFSU && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == AFSU && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == AFSU && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == AFSU && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == AFSU && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == AFSU && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == AFSU && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == AFSU && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == AFSU && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == AFSU && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == AFSU && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == AFSU && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == AFSU && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == AFSU && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == AFSU && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == AFSU && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == AFSU && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == AFSU && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == MARO && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == MARO && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == MARO && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == MARO && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == MARO && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == MARO && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == MARO && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == MARO && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == MARO && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == MARO && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == MARO && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == MARO && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == MARO && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == MARO && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == MARO && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == MARO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == MARO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == MARO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ISRA && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == ISRA && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == ISRA && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ISRA && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == ISRA && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == ISRA && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ISRA && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == ISRA && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == ISRA && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ISRA && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == ISRA && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == ISRA && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ISRA && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == ISRA && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == ISRA && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ISRA && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == ISRA && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == ISRA && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == HONG && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == HONG && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == HONG && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == HONG && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == HONG && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == HONG && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == HONG && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == HONG && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == HONG && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == HONG && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == HONG && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == HONG && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == HONG && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == HONG && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == HONG && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == HONG && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == HONG && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == HONG && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == TCHE && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == TCHE && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == TCHE && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == TCHE && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == TCHE && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == TCHE && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == TCHE && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == TCHE && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == TCHE && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == TCHE && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == TCHE && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == TCHE && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == TCHE && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == TCHE && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == TCHE && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == TCHE && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == TCHE && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == TCHE && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == POLO && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == POLO && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == POLO && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == POLO && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == POLO && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == POLO && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == POLO && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == POLO && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == POLO && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == POLO && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == POLO && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == POLO && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == POLO && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == POLO && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == POLO && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == POLO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == POLO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == POLO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == SLVQ && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == SLVQ && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == SLVQ && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == SLVQ && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == SLVQ && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == SLVQ && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == SLVQ && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == SLVQ && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == SLVQ && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == SLVQ && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == SLVQ && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == SLVQ && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == SLVQ && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == SLVQ && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == SLVQ && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == SLVQ && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == SLVQ && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == SLVQ && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == JAPO && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == JAPO && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == JAPO && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == JAPO && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == JAPO && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == JAPO && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == JAPO && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == JAPO && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == JAPO && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == JAPO && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == JAPO && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == JAPO && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == JAPO && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == JAPO && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == JAPO && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == JAPO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == JAPO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == JAPO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == TAIW && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == TAIW && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == TAIW && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == TAIW && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == TAIW && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == TAIW && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == TAIW && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == TAIW && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == TAIW && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == TAIW && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == TAIW && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == TAIW && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == TAIW && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == TAIW && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == TAIW && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == TAIW && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == TAIW && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == TAIW && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == AUST && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == AUST && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == AUST && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == AUST && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == AUST && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == AUST && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == AUST && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == AUST && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == AUST && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == AUST && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == AUST && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == AUST && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == AUST && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == AUST && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == AUST && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == AUST && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == AUST && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == AUST && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == URUG && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == URUG && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == URUG && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == URUG && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == URUG && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == URUG && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == URUG && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == URUG && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == URUG && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == URUG && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == URUG && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == URUG && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == URUG && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == URUG && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == URUG && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == URUG && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == URUG && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == URUG && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAIB && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == DAIB && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == DAIB && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAIB && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == DAIB && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == DAIB && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAIB && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == DAIB && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == DAIB && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAIB && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == DAIB && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == DAIB && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAIB && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == DAIB && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == DAIB && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAIB && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == DAIB && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == DAIB && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAIC && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == DAIC && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == DAIC && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAIC && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == DAIC && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == DAIC && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAIC && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == DAIC && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == DAIC && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAIC && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == DAIC && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == DAIC && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAIC && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == DAIC && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == DAIC && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAIC && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == DAIC && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == DAIC && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAID && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == DAID && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == DAID && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAID && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == DAID && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == DAID && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAID && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == DAID && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == DAID && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAID && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == DAID && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == DAID && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAID && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == DAID && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == DAID && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAID && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == DAID && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == DAID && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAIF && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == DAIF && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == DAIF && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAIF && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == DAIF && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == DAIF && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAIF && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == DAIF && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == DAIF && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAIF && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == DAIF && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == DAIF && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAIF && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == DAIF && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == DAIF && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAIF && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == DAIF && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == DAIF && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == EUOR && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == EUOR && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == EUOR && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == EUOR && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == EUOR && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == EUOR && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == EUOR && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == EUOR && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == EUOR && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == EUOR && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == EUOR && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == EUOR && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == EUOR && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == EUOR && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == EUOR && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == EUOR && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == EUOR && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == EUOR && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == CETI && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == CETI && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == CETI && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == CETI && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == CETI && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == CETI && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == CETI && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == CETI && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == CETI && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == CETI && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == CETI && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == CETI && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == CETI && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == CETI && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == CETI && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == CETI && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var5 == CETI && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var5 == CETI && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == FRAN && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == FRAN && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == FRAN && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == FRAN && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == FRAN && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == FRAN && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == FRAN && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == FRAN && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == FRAN && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == FRAN && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == FRAN && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == FRAN && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == FRAN && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == FRAN && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == FRAN && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == FRAN && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == FRAN && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == FRAN && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DOTO && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DOTO && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == DOTO && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DOTO && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DOTO && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == DOTO && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DOTO && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DOTO && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == DOTO && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DOTO && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DOTO && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == DOTO && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DOTO && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DOTO && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == DOTO && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DOTO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DOTO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == DOTO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ALLE && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ALLE && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == ALLE && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ALLE && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ALLE && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == ALLE && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ALLE && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ALLE && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == ALLE && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ALLE && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ALLE && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == ALLE && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ALLE && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ALLE && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == ALLE && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ALLE && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ALLE && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == ALLE && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AUTR && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == AUTR && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == AUTR && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AUTR && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == AUTR && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == AUTR && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AUTR && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == AUTR && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == AUTR && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AUTR && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == AUTR && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == AUTR && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AUTR && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == AUTR && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == AUTR && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AUTR && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == AUTR && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == AUTR && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == BELG && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == BELG && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == BELG && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == BELG && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == BELG && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == BELG && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == BELG && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == BELG && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == BELG && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == BELG && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == BELG && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == BELG && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == BELG && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == BELG && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == BELG && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == BELG && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == BELG && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == BELG && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DANE && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DANE && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == DANE && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DANE && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DANE && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == DANE && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DANE && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DANE && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == DANE && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DANE && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DANE && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == DANE && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DANE && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DANE && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == DANE && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DANE && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DANE && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == DANE && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ESPA && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ESPA && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == ESPA && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ESPA && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ESPA && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == ESPA && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ESPA && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ESPA && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == ESPA && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ESPA && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ESPA && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == ESPA && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ESPA && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ESPA && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == ESPA && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ESPA && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ESPA && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == ESPA && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == FINL && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == FINL && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == FINL && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == FINL && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == FINL && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == FINL && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == FINL && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == FINL && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == FINL && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == FINL && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == FINL && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == FINL && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == FINL && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == FINL && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == FINL && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == FINL && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == FINL && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == FINL && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GRBR && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == GRBR && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == GRBR && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GRBR && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == GRBR && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == GRBR && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GRBR && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == GRBR && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == GRBR && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GRBR && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == GRBR && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == GRBR && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GRBR && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == GRBR && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == GRBR && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GRBR && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == GRBR && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == GRBR && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GREC && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == GREC && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == GREC && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GREC && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == GREC && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == GREC && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GREC && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == GREC && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == GREC && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GREC && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == GREC && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == GREC && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GREC && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == GREC && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == GREC && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GREC && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == GREC && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == GREC && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HOLL && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == HOLL && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == HOLL && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HOLL && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == HOLL && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == HOLL && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HOLL && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == HOLL && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == HOLL && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HOLL && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == HOLL && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == HOLL && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HOLL && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == HOLL && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == HOLL && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HOLL && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == HOLL && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == HOLL && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == IRLA && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == IRLA && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == IRLA && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == IRLA && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == IRLA && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == IRLA && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == IRLA && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == IRLA && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == IRLA && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == IRLA && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == IRLA && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == IRLA && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == IRLA && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == IRLA && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == IRLA && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == IRLA && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == IRLA && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == IRLA && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ISLA && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ISLA && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == ISLA && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ISLA && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ISLA && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == ISLA && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ISLA && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ISLA && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == ISLA && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ISLA && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ISLA && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == ISLA && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ISLA && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ISLA && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == ISLA && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ISLA && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ISLA && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == ISLA && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ITAL && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ITAL && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == ITAL && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ITAL && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ITAL && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == ITAL && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ITAL && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ITAL && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == ITAL && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ITAL && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ITAL && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == ITAL && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ITAL && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ITAL && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == ITAL && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ITAL && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ITAL && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == ITAL && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == NORV && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == NORV && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == NORV && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == NORV && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == NORV && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == NORV && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == NORV && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == NORV && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == NORV && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == NORV && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == NORV && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == NORV && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == NORV && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == NORV && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == NORV && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == NORV && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == NORV && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == NORV && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == PORT && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == PORT && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == PORT && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == PORT && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == PORT && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == PORT && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == PORT && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == PORT && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == PORT && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == PORT && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == PORT && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == PORT && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == PORT && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == PORT && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == PORT && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == PORT && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == PORT && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == PORT && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SUED && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == SUED && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == SUED && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SUED && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == SUED && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == SUED && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SUED && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == SUED && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == SUED && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SUED && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == SUED && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == SUED && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SUED && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == SUED && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == SUED && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SUED && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == SUED && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == SUED && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SUIS && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == SUIS && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == SUIS && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SUIS && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == SUIS && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == SUIS && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SUIS && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == SUIS && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == SUIS && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SUIS && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == SUIS && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == SUIS && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SUIS && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == SUIS && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == SUIS && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SUIS && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == SUIS && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == SUIS && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == YOUG && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == YOUG && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == YOUG && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == YOUG && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == YOUG && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == YOUG && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == YOUG && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == YOUG && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == YOUG && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == YOUG && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == YOUG && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == YOUG && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == YOUG && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == YOUG && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == YOUG && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == YOUG && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == YOUG && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == YOUG && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == MAGH && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == MAGH && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == MAGH && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == MAGH && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == MAGH && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == MAGH && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == MAGH && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == MAGH && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == MAGH && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == MAGH && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == MAGH && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == MAGH && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == MAGH && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == MAGH && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == MAGH && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == MAGH && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == MAGH && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == MAGH && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TURQ && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == TURQ && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == TURQ && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TURQ && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == TURQ && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == TURQ && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TURQ && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == TURQ && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == TURQ && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TURQ && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == TURQ && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == TURQ && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TURQ && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == TURQ && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == TURQ && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TURQ && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == TURQ && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == TURQ && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ARGE && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ARGE && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == ARGE && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ARGE && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ARGE && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == ARGE && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ARGE && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ARGE && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == ARGE && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ARGE && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ARGE && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == ARGE && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ARGE && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ARGE && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == ARGE && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ARGE && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ARGE && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == ARGE && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == BRES && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == BRES && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == BRES && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == BRES && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == BRES && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == BRES && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == BRES && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == BRES && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == BRES && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == BRES && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == BRES && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == BRES && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == BRES && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == BRES && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == BRES && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == BRES && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == BRES && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == BRES && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == CHIL && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == CHIL && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == CHIL && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == CHIL && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == CHIL && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == CHIL && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == CHIL && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == CHIL && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == CHIL && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == CHIL && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == CHIL && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == CHIL && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == CHIL && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == CHIL && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == CHIL && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == CHIL && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == CHIL && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == CHIL && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == COLO && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == COLO && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == COLO && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == COLO && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == COLO && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == COLO && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == COLO && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == COLO && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == COLO && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == COLO && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == COLO && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == COLO && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == COLO && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == COLO && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == COLO && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == COLO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == COLO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == COLO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AFSU && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == AFSU && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == AFSU && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AFSU && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == AFSU && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == AFSU && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AFSU && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == AFSU && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == AFSU && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AFSU && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == AFSU && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == AFSU && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AFSU && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == AFSU && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == AFSU && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AFSU && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == AFSU && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == AFSU && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == MARO && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == MARO && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == MARO && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == MARO && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == MARO && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == MARO && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == MARO && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == MARO && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == MARO && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == MARO && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == MARO && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == MARO && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == MARO && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == MARO && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == MARO && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == MARO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == MARO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == MARO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ISRA && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ISRA && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == ISRA && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ISRA && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ISRA && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == ISRA && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ISRA && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ISRA && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == ISRA && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ISRA && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ISRA && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == ISRA && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ISRA && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ISRA && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == ISRA && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ISRA && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ISRA && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == ISRA && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HONG && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == HONG && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == HONG && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HONG && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == HONG && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == HONG && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HONG && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == HONG && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == HONG && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HONG && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == HONG && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == HONG && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HONG && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == HONG && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == HONG && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HONG && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == HONG && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == HONG && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TCHE && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == TCHE && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == TCHE && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TCHE && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == TCHE && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == TCHE && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TCHE && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == TCHE && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == TCHE && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TCHE && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == TCHE && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == TCHE && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TCHE && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == TCHE && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == TCHE && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TCHE && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == TCHE && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == TCHE && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == POLO && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == POLO && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == POLO && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == POLO && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == POLO && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == POLO && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == POLO && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == POLO && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == POLO && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == POLO && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == POLO && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == POLO && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == POLO && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == POLO && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == POLO && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == POLO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == POLO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == POLO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SLVQ && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == SLVQ && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == SLVQ && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SLVQ && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == SLVQ && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == SLVQ && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SLVQ && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == SLVQ && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == SLVQ && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SLVQ && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == SLVQ && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == SLVQ && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SLVQ && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == SLVQ && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == SLVQ && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SLVQ && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == SLVQ && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == SLVQ && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == JAPO && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == JAPO && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == JAPO && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == JAPO && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == JAPO && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == JAPO && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == JAPO && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == JAPO && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == JAPO && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == JAPO && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == JAPO && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == JAPO && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == JAPO && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == JAPO && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == JAPO && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == JAPO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == JAPO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == JAPO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TAIW && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == TAIW && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == TAIW && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TAIW && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == TAIW && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == TAIW && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TAIW && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == TAIW && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == TAIW && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TAIW && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == TAIW && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == TAIW && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TAIW && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == TAIW && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == TAIW && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TAIW && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == TAIW && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == TAIW && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AUST && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == AUST && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == AUST && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AUST && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == AUST && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == AUST && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AUST && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == AUST && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == AUST && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AUST && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == AUST && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == AUST && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AUST && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == AUST && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == AUST && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AUST && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == AUST && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == AUST && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == URUG && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == URUG && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == URUG && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == URUG && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == URUG && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == URUG && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == URUG && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == URUG && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == URUG && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == URUG && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == URUG && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == URUG && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == URUG && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == URUG && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == URUG && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == URUG && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == URUG && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == URUG && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIB && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DAIB && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == DAIB && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIB && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DAIB && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == DAIB && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIB && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DAIB && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == DAIB && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIB && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DAIB && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == DAIB && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIB && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DAIB && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == DAIB && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIB && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DAIB && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == DAIB && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIC && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DAIC && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == DAIC && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIC && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DAIC && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == DAIC && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIC && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DAIC && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == DAIC && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIC && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DAIC && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == DAIC && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIC && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DAIC && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == DAIC && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIC && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DAIC && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == DAIC && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAID && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DAID && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == DAID && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAID && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DAID && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == DAID && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAID && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DAID && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == DAID && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAID && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DAID && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == DAID && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAID && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DAID && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == DAID && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAID && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DAID && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == DAID && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIF && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DAIF && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == DAIF && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIF && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DAIF && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == DAIF && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIF && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DAIF && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == DAIF && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIF && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DAIF && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == DAIF && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIF && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DAIF && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == DAIF && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIF && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DAIF && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == DAIF && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == EUOR && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == EUOR && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == EUOR && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == EUOR && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == EUOR && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == EUOR && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == EUOR && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == EUOR && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == EUOR && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == EUOR && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == EUOR && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == EUOR && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == EUOR && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == EUOR && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == EUOR && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == EUOR && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == EUOR && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == EUOR && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == CETI && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == CETI && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == CETI && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == CETI && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == CETI && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == CETI && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == CETI && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == CETI && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == CETI && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == CETI && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == CETI && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == CETI && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == CETI && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == CETI && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == CETI && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == CETI && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == CETI && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == CETI && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == FRAN && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == FRAN && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == FRAN && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == FRAN && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == FRAN && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == FRAN && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == FRAN && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == FRAN && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == FRAN && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == FRAN && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == FRAN && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == FRAN && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == FRAN && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == FRAN && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == FRAN && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == FRAN && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == FRAN && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == FRAN && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DOTO && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == DOTO && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == DOTO && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DOTO && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == DOTO && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == DOTO && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DOTO && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == DOTO && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == DOTO && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DOTO && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == DOTO && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == DOTO && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DOTO && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == DOTO && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == DOTO && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DOTO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == DOTO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == DOTO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ALLE && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == ALLE && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == ALLE && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ALLE && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == ALLE && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == ALLE && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ALLE && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == ALLE && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == ALLE && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ALLE && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == ALLE && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == ALLE && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ALLE && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == ALLE && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == ALLE && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ALLE && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == ALLE && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == ALLE && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == AUTR && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == AUTR && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == AUTR && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == AUTR && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == AUTR && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == AUTR && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == AUTR && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == AUTR && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == AUTR && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == AUTR && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == AUTR && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == AUTR && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == AUTR && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == AUTR && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == AUTR && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == AUTR && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == AUTR && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == AUTR && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == BELG && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == BELG && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == BELG && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == BELG && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == BELG && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == BELG && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == BELG && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == BELG && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == BELG && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == BELG && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == BELG && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == BELG && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == BELG && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == BELG && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == BELG && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == BELG && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == BELG && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == BELG && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DANE && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == DANE && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == DANE && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DANE && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == DANE && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == DANE && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DANE && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == DANE && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == DANE && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DANE && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == DANE && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == DANE && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DANE && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == DANE && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == DANE && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DANE && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == DANE && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == DANE && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ESPA && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == ESPA && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == ESPA && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ESPA && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == ESPA && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == ESPA && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ESPA && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == ESPA && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == ESPA && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ESPA && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == ESPA && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == ESPA && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ESPA && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == ESPA && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == ESPA && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ESPA && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == ESPA && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == ESPA && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == FINL && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == FINL && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == FINL && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == FINL && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == FINL && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == FINL && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == FINL && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == FINL && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == FINL && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == FINL && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == FINL && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == FINL && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == FINL && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == FINL && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == FINL && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == FINL && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == FINL && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == FINL && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == GRBR && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == GRBR && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == GRBR && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == GRBR && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == GRBR && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == GRBR && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == GRBR && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == GRBR && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == GRBR && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == GRBR && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == GRBR && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == GRBR && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == GRBR && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == GRBR && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == GRBR && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == GRBR && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == GRBR && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == GRBR && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == GREC && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == GREC && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == GREC && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == GREC && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == GREC && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == GREC && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == GREC && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == GREC && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == GREC && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == GREC && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == GREC && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == GREC && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == GREC && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == GREC && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == GREC && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == GREC && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == GREC && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == GREC && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == HOLL && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == HOLL && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == HOLL && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == HOLL && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == HOLL && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == HOLL && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == HOLL && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == HOLL && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == HOLL && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == HOLL && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == HOLL && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == HOLL && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == HOLL && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == HOLL && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == HOLL && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == HOLL && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == HOLL && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == HOLL && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == IRLA && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == IRLA && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == IRLA && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == IRLA && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == IRLA && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == IRLA && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == IRLA && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == IRLA && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == IRLA && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == IRLA && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == IRLA && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == IRLA && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == IRLA && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == IRLA && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == IRLA && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == IRLA && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == IRLA && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == IRLA && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ISLA && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == ISLA && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == ISLA && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ISLA && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == ISLA && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == ISLA && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ISLA && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == ISLA && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == ISLA && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ISLA && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == ISLA && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == ISLA && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ISLA && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == ISLA && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == ISLA && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ISLA && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == ISLA && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == ISLA && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ITAL && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == ITAL && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == ITAL && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ITAL && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == ITAL && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == ITAL && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ITAL && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == ITAL && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == ITAL && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ITAL && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == ITAL && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == ITAL && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ITAL && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == ITAL && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == ITAL && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ITAL && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == ITAL && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == ITAL && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == NORV && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == NORV && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == NORV && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == NORV && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == NORV && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == NORV && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == NORV && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == NORV && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == NORV && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == NORV && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == NORV && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == NORV && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == NORV && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == NORV && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == NORV && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == NORV && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == NORV && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == NORV && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == PORT && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == PORT && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == PORT && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == PORT && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == PORT && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == PORT && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == PORT && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == PORT && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == PORT && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == PORT && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == PORT && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == PORT && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == PORT && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == PORT && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == PORT && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == PORT && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == PORT && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == PORT && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == SUED && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == SUED && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == SUED && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == SUED && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == SUED && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == SUED && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == SUED && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == SUED && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == SUED && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == SUED && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == SUED && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == SUED && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == SUED && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == SUED && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == SUED && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == SUED && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == SUED && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == SUED && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == SUIS && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == SUIS && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == SUIS && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == SUIS && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == SUIS && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == SUIS && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == SUIS && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == SUIS && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == SUIS && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == SUIS && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == SUIS && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == SUIS && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == SUIS && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == SUIS && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == SUIS && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == SUIS && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == SUIS && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == SUIS && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == YOUG && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == YOUG && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == YOUG && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == YOUG && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == YOUG && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == YOUG && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == YOUG && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == YOUG && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == YOUG && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == YOUG && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == YOUG && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == YOUG && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == YOUG && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == YOUG && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == YOUG && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == YOUG && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == YOUG && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == YOUG && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == MAGH && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == MAGH && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == MAGH && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == MAGH && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == MAGH && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == MAGH && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == MAGH && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == MAGH && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == MAGH && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == MAGH && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == MAGH && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == MAGH && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == MAGH && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == MAGH && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == MAGH && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == MAGH && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == MAGH && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == MAGH && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == TURQ && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == TURQ && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == TURQ && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == TURQ && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == TURQ && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == TURQ && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == TURQ && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == TURQ && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == TURQ && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == TURQ && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == TURQ && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == TURQ && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == TURQ && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == TURQ && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == TURQ && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == TURQ && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == TURQ && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == TURQ && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ARGE && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == ARGE && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == ARGE && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ARGE && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == ARGE && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == ARGE && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ARGE && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == ARGE && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == ARGE && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ARGE && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == ARGE && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == ARGE && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ARGE && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == ARGE && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == ARGE && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ARGE && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == ARGE && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == ARGE && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == BRES && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == BRES && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == BRES && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == BRES && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == BRES && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == BRES && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == BRES && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == BRES && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == BRES && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == BRES && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == BRES && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == BRES && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == BRES && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == BRES && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == BRES && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == BRES && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == BRES && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == BRES && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == CHIL && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == CHIL && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == CHIL && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == CHIL && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == CHIL && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == CHIL && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == CHIL && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == CHIL && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == CHIL && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == CHIL && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == CHIL && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == CHIL && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == CHIL && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == CHIL && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == CHIL && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == CHIL && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == CHIL && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == CHIL && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == COLO && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == COLO && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == COLO && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == COLO && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == COLO && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == COLO && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == COLO && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == COLO && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == COLO && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == COLO && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == COLO && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == COLO && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == COLO && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == COLO && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == COLO && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == COLO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == COLO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == COLO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == AFSU && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == AFSU && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == AFSU && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == AFSU && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == AFSU && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == AFSU && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == AFSU && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == AFSU && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == AFSU && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == AFSU && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == AFSU && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == AFSU && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == AFSU && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == AFSU && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == AFSU && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == AFSU && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == AFSU && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == AFSU && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == MARO && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == MARO && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == MARO && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == MARO && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == MARO && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == MARO && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == MARO && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == MARO && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == MARO && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == MARO && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == MARO && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == MARO && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == MARO && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == MARO && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == MARO && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == MARO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == MARO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == MARO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ISRA && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == ISRA && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == ISRA && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ISRA && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == ISRA && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == ISRA && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ISRA && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == ISRA && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == ISRA && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ISRA && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == ISRA && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == ISRA && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ISRA && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == ISRA && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == ISRA && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ISRA && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == ISRA && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == ISRA && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == HONG && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == HONG && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == HONG && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == HONG && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == HONG && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == HONG && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == HONG && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == HONG && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == HONG && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == HONG && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == HONG && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == HONG && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == HONG && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == HONG && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == HONG && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == HONG && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == HONG && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == HONG && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == TCHE && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == TCHE && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == TCHE && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == TCHE && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == TCHE && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == TCHE && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == TCHE && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == TCHE && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == TCHE && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == TCHE && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == TCHE && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == TCHE && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == TCHE && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == TCHE && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == TCHE && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == TCHE && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == TCHE && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == TCHE && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == POLO && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == POLO && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == POLO && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == POLO && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == POLO && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == POLO && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == POLO && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == POLO && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == POLO && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == POLO && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == POLO && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == POLO && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == POLO && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == POLO && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == POLO && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == POLO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == POLO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == POLO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == SLVQ && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == SLVQ && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == SLVQ && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == SLVQ && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == SLVQ && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == SLVQ && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == SLVQ && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == SLVQ && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == SLVQ && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == SLVQ && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == SLVQ && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == SLVQ && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == SLVQ && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == SLVQ && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == SLVQ && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == SLVQ && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == SLVQ && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == SLVQ && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == JAPO && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == JAPO && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == JAPO && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == JAPO && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == JAPO && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == JAPO && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == JAPO && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == JAPO && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == JAPO && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == JAPO && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == JAPO && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == JAPO && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == JAPO && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == JAPO && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == JAPO && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == JAPO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == JAPO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == JAPO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == TAIW && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == TAIW && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == TAIW && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == TAIW && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == TAIW && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == TAIW && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == TAIW && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == TAIW && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == TAIW && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == TAIW && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == TAIW && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == TAIW && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == TAIW && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == TAIW && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == TAIW && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == TAIW && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == TAIW && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == TAIW && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == AUST && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == AUST && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == AUST && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == AUST && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == AUST && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == AUST && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == AUST && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == AUST && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == AUST && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == AUST && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == AUST && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == AUST && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == AUST && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == AUST && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == AUST && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == AUST && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == AUST && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == AUST && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == URUG && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == URUG && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == URUG && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == URUG && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == URUG && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == URUG && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == URUG && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == URUG && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == URUG && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == URUG && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == URUG && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == URUG && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == URUG && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == URUG && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == URUG && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == URUG && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == URUG && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == URUG && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DAIB && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == DAIB && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == DAIB && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DAIB && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == DAIB && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == DAIB && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DAIB && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == DAIB && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == DAIB && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DAIB && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == DAIB && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == DAIB && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DAIB && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == DAIB && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == DAIB && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DAIB && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == DAIB && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == DAIB && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DAIC && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == DAIC && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == DAIC && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DAIC && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == DAIC && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == DAIC && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DAIC && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == DAIC && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == DAIC && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DAIC && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == DAIC && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == DAIC && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DAIC && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == DAIC && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == DAIC && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DAIC && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == DAIC && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == DAIC && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DAID && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == DAID && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == DAID && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DAID && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == DAID && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == DAID && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DAID && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == DAID && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == DAID && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DAID && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == DAID && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == DAID && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DAID && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == DAID && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == DAID && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DAID && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == DAID && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == DAID && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DAIF && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == DAIF && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == DAIF && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DAIF && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == DAIF && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == DAIF && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DAIF && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == DAIF && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == DAIF && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DAIF && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == DAIF && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == DAIF && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DAIF && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == DAIF && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == DAIF && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DAIF && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == DAIF && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == DAIF && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == EUOR && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == EUOR && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == EUOR && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == EUOR && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == EUOR && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == EUOR && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == EUOR && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == EUOR && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == EUOR && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == EUOR && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == EUOR && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == EUOR && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == EUOR && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == EUOR && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == EUOR && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == EUOR && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == EUOR && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == EUOR && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == CETI && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == CETI && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == CETI && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == CETI && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == CETI && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == CETI && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == CETI && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == CETI && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == CETI && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == CETI && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == CETI && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == CETI && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == CETI && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == CETI && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == CETI && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == CETI && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var5 == CETI && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var5 == CETI && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var5 == FRAN && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var5 == FRAN && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var5 == DOTO && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var5 == DOTO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var5 == ALLE && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var5 == ALLE && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var5 == AUTR && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var5 == AUTR && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var5 == BELG && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var5 == BELG && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var5 == DANE && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var5 == DANE && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var5 == ESPA && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var5 == ESPA && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var5 == FINL && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var5 == FINL && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var5 == GRBR && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var5 == GRBR && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var5 == GREC && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var5 == GREC && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var5 == HOLL && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var5 == HOLL && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var5 == IRLA && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var5 == IRLA && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var5 == ISLA && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var5 == ISLA && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var5 == ITAL && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var5 == ITAL && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var5 == NORV && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var5 == NORV && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var5 == PORT && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var5 == PORT && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var5 == SUED && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var5 == SUED && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var5 == SUIS && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var5 == SUIS && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var5 == YOUG && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var5 == YOUG && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var5 == MAGH && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var5 == MAGH && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var5 == TURQ && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var5 == TURQ && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var5 == ARGE && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var5 == ARGE && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var5 == BRES && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var5 == BRES && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var5 == CHIL && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var5 == CHIL && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var5 == COLO && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var5 == COLO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var5 == AFSU && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var5 == AFSU && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var5 == MARO && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var5 == MARO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var5 == ISRA && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var5 == ISRA && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var5 == HONG && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var5 == HONG && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var5 == TCHE && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var5 == TCHE && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var5 == POLO && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var5 == POLO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var5 == SLVQ && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var5 == SLVQ && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var5 == JAPO && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var5 == JAPO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var5 == TAIW && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var5 == TAIW && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var5 == AUST && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var5 == AUST && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var5 == URUG && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var5 == URUG && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var5 == DAIB && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var5 == DAIB && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var5 == DAIC && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var5 == DAIC && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var5 == DAID && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var5 == DAID && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var5 == DAIF && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var5 == DAIF && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var5 == EUOR && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var5 == EUOR && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var5 == CETI && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var5 == CETI && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var5 == FRAN && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var5 == FRAN && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var5 == DOTO && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var5 == DOTO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var5 == ALLE && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var5 == ALLE && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var5 == AUTR && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var5 == AUTR && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var5 == BELG && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var5 == BELG && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var5 == DANE && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var5 == DANE && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var5 == ESPA && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var5 == ESPA && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var5 == FINL && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var5 == FINL && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var5 == GRBR && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var5 == GRBR && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var5 == GREC && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var5 == GREC && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var5 == HOLL && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var5 == HOLL && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var5 == IRLA && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var5 == IRLA && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var5 == ISLA && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var5 == ISLA && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var5 == ITAL && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var5 == ITAL && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var5 == NORV && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var5 == NORV && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var5 == PORT && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var5 == PORT && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var5 == SUED && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var5 == SUED && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var5 == SUIS && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var5 == SUIS && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var5 == YOUG && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var5 == YOUG && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var5 == MAGH && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var5 == MAGH && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var5 == TURQ && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var5 == TURQ && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var5 == ARGE && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var5 == ARGE && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var5 == BRES && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var5 == BRES && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var5 == CHIL && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var5 == CHIL && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var5 == COLO && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var5 == COLO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var5 == AFSU && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var5 == AFSU && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var5 == MARO && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var5 == MARO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var5 == ISRA && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var5 == ISRA && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var5 == HONG && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var5 == HONG && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var5 == TCHE && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var5 == TCHE && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var5 == POLO && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var5 == POLO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var5 == SLVQ && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var5 == SLVQ && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var5 == JAPO && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var5 == JAPO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var5 == TAIW && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var5 == TAIW && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var5 == AUST && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var5 == AUST && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var5 == URUG && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var5 == URUG && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var5 == DAIB && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var5 == DAIB && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var5 == DAIC && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var5 == DAIC && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var5 == DAID && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var5 == DAID && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var5 == DAIF && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var5 == DAIF && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var5 == EUOR && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var5 == EUOR && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var5 == CETI && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var5 == CETI && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == FRAN && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == FRAN && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == DOTO && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == DOTO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == ALLE && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == ALLE && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == AUTR && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == AUTR && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == BELG && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == BELG && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == DANE && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == DANE && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == ESPA && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == ESPA && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == FINL && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == FINL && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == GRBR && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == GRBR && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == GREC && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == GREC && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == HOLL && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == HOLL && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == IRLA && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == IRLA && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == ISLA && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == ISLA && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == ITAL && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == ITAL && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == NORV && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == NORV && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == PORT && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == PORT && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == SUED && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == SUED && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == SUIS && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == SUIS && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == YOUG && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == YOUG && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == MAGH && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == MAGH && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == TURQ && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == TURQ && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == ARGE && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == ARGE && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == BRES && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == BRES && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == CHIL && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == CHIL && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == COLO && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == COLO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == AFSU && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == AFSU && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == MARO && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == MARO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == ISRA && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == ISRA && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == HONG && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == HONG && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == TCHE && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == TCHE && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == POLO && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == POLO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == SLVQ && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == SLVQ && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == JAPO && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == JAPO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == TAIW && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == TAIW && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == AUST && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == AUST && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == URUG && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == URUG && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == DAIB && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == DAIB && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == DAIC && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == DAIC && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == DAID && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == DAID && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == DAIF && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == DAIF && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == EUOR && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == EUOR && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == CETI && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == CETI && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == FRAN && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == FRAN && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == DOTO && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == DOTO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == ALLE && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == ALLE && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == AUTR && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == AUTR && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == BELG && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == BELG && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == DANE && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == DANE && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == ESPA && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == ESPA && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == FINL && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == FINL && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == GRBR && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == GRBR && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == GREC && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == GREC && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == HOLL && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == HOLL && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == IRLA && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == IRLA && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == ISLA && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == ISLA && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == ITAL && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == ITAL && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == NORV && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == NORV && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == PORT && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == PORT && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == SUED && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == SUED && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == SUIS && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == SUIS && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == YOUG && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == YOUG && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == MAGH && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == MAGH && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == TURQ && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == TURQ && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == ARGE && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == ARGE && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == BRES && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == BRES && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == CHIL && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == CHIL && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == COLO && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == COLO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == AFSU && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == AFSU && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == MARO && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == MARO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == ISRA && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == ISRA && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == HONG && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == HONG && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == TCHE && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == TCHE && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == POLO && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == POLO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == SLVQ && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == SLVQ && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == JAPO && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == JAPO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == TAIW && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == TAIW && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == AUST && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == AUST && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == URUG && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == URUG && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == DAIB && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == DAIB && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == DAIC && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == DAIC && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == DAID && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == DAID && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == DAIF && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == DAIF && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == EUOR && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == EUOR && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == CETI && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == CETI && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var5 == FRAN && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var5 == FRAN && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var5 == DOTO && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var5 == DOTO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var5 == ALLE && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var5 == ALLE && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var5 == AUTR && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var5 == AUTR && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var5 == BELG && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var5 == BELG && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var5 == DANE && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var5 == DANE && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var5 == ESPA && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var5 == ESPA && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var5 == FINL && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var5 == FINL && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var5 == GRBR && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var5 == GRBR && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var5 == GREC && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var5 == GREC && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var5 == HOLL && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var5 == HOLL && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var5 == IRLA && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var5 == IRLA && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var5 == ISLA && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var5 == ISLA && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var5 == ITAL && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var5 == ITAL && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var5 == NORV && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var5 == NORV && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var5 == PORT && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var5 == PORT && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var5 == SUED && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var5 == SUED && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var5 == SUIS && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var5 == SUIS && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var5 == YOUG && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var5 == YOUG && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var5 == MAGH && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var5 == MAGH && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var5 == TURQ && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var5 == TURQ && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var5 == ARGE && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var5 == ARGE && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var5 == BRES && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var5 == BRES && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var5 == CHIL && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var5 == CHIL && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var5 == COLO && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var5 == COLO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var5 == AFSU && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var5 == AFSU && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var5 == MARO && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var5 == MARO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var5 == ISRA && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var5 == ISRA && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var5 == HONG && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var5 == HONG && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var5 == TCHE && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var5 == TCHE && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var5 == POLO && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var5 == POLO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var5 == SLVQ && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var5 == SLVQ && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var5 == JAPO && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var5 == JAPO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var5 == TAIW && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var5 == TAIW && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var5 == AUST && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var5 == AUST && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var5 == URUG && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var5 == URUG && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var5 == DAIB && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var5 == DAIB && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var5 == DAIC && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var5 == DAIC && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var5 == DAID && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var5 == DAID && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var5 == DAIF && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var5 == DAIF && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var5 == EUOR && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var5 == EUOR && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var5 == CETI && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var5 == CETI && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == FRAN && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == FRAN && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == FRAN && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DOTO && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DOTO && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DOTO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ALLE && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ALLE && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ALLE && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == AUTR && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == AUTR && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == AUTR && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == BELG && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == BELG && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == BELG && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DANE && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DANE && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DANE && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ESPA && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ESPA && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ESPA && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == FINL && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == FINL && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == FINL && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == GRBR && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == GRBR && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == GRBR && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == GREC && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == GREC && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == GREC && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == HOLL && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == HOLL && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == HOLL && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == IRLA && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == IRLA && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == IRLA && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ISLA && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ISLA && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ISLA && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ITAL && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ITAL && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ITAL && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == NORV && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == NORV && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == NORV && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == PORT && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == PORT && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == PORT && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == SUED && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == SUED && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == SUED && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == SUIS && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == SUIS && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == SUIS && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == YOUG && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == YOUG && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == YOUG && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == MAGH && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == MAGH && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == MAGH && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == TURQ && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == TURQ && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == TURQ && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ARGE && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ARGE && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ARGE && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == BRES && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == BRES && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == BRES && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == CHIL && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == CHIL && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == CHIL && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == COLO && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == COLO && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == COLO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == AFSU && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == AFSU && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == AFSU && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == MARO && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == MARO && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == MARO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ISRA && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ISRA && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ISRA && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == HONG && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == HONG && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == HONG && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == TCHE && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == TCHE && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == TCHE && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == POLO && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == POLO && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == POLO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == SLVQ && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == SLVQ && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == SLVQ && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == JAPO && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == JAPO && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == JAPO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == TAIW && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == TAIW && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == TAIW && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == AUST && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == AUST && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == AUST && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == URUG && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == URUG && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == URUG && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DAIB && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DAIB && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DAIB && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DAIC && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DAIC && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DAIC && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DAID && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DAID && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DAID && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DAIF && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DAIF && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DAIF && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == EUOR && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == EUOR && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == EUOR && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == CETI && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == CETI && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == CETI && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == FRAN && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == FRAN && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == FRAN && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DOTO && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DOTO && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DOTO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ALLE && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ALLE && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ALLE && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == AUTR && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == AUTR && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == AUTR && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == BELG && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == BELG && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == BELG && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DANE && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DANE && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) ) || ( ( var1 == D64 && var2 == E3 && var5 == DANE && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ESPA && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ESPA && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ESPA && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == FINL && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == FINL && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == FINL && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == GRBR && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == GRBR && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == GRBR && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == GREC && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == GREC && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == GREC && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == HOLL && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == HOLL && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == HOLL && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == IRLA && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == IRLA && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == IRLA && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ISLA && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ISLA && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ISLA && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ITAL && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ITAL && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ITAL && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == NORV && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == NORV && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == NORV && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == PORT && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == PORT && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == PORT && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == SUED && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == SUED && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == SUED && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == SUIS && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == SUIS && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == SUIS && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == YOUG && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == YOUG && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == YOUG && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == MAGH && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == MAGH && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == MAGH && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == TURQ && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == TURQ && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == TURQ && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ARGE && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ARGE && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ARGE && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == BRES && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == BRES && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == BRES && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == CHIL && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == CHIL && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == CHIL && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == COLO && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == COLO && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == COLO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == AFSU && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == AFSU && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == AFSU && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == MARO && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == MARO && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == MARO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ISRA && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ISRA && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ISRA && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == HONG && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == HONG && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == HONG && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == TCHE && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == TCHE && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == TCHE && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == POLO && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == POLO && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == POLO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == SLVQ && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == SLVQ && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == SLVQ && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == JAPO && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == JAPO && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == JAPO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == TAIW && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == TAIW && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == TAIW && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == AUST && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == AUST && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == AUST && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == URUG && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == URUG && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == URUG && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DAIB && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DAIB && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DAIB && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DAIC && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DAIC && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DAIC && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DAID && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DAID && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DAID && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DAIF && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DAIF && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DAIF && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == EUOR && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == EUOR && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == EUOR && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == CETI && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == CETI && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == CETI && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FRAN && var76 == NINAV1 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FRAN && var76 == NINAV1 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FRAN && var76 == NINAV1 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FRAN && var76 == NINAV1 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FRAN && var76 == NINAV1 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FRAN && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FRAN && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FRAN && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FRAN && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FRAN && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FRAN && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DOTO && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DOTO && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DOTO && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DOTO && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DOTO && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DOTO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ALLE && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ALLE && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ALLE && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ALLE && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ALLE && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ALLE && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AUTR && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AUTR && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AUTR && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AUTR && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AUTR && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AUTR && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == BELG && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == BELG && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == BELG && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == BELG && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == BELG && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == BELG && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DANE && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DANE && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DANE && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DANE && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DANE && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DANE && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ESPA && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ESPA && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ESPA && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ESPA && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ESPA && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ESPA && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FINL && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FINL && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FINL && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FINL && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FINL && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FINL && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == GRBR && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == GRBR && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == GRBR && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == GRBR && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == GRBR && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == GRBR && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == GREC && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == GREC && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == GREC && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == GREC && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == GREC && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == GREC && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == HOLL && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == HOLL && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == HOLL && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == HOLL && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == HOLL && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == HOLL && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == IRLA && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == IRLA && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == IRLA && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == IRLA && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == IRLA && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == IRLA && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ISLA && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ISLA && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ISLA && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ISLA && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ISLA && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ISLA && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ITAL && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ITAL && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ITAL && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ITAL && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ITAL && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ITAL && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == NORV && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == NORV && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == NORV && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == NORV && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == NORV && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == NORV && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == PORT && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == PORT && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == PORT && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == PORT && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == PORT && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == PORT && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SUED && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SUED && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SUED && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SUED && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SUED && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SUED && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SUIS && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SUIS && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SUIS && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SUIS && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SUIS && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SUIS && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == YOUG && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == YOUG && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == YOUG && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == YOUG && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == YOUG && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == YOUG && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == MAGH && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == MAGH && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == MAGH && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == MAGH && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == MAGH && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == MAGH && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TURQ && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TURQ && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TURQ && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TURQ && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TURQ && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TURQ && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ARGE && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ARGE && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ARGE && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ARGE && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ARGE && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ARGE && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == BRES && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == BRES && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == BRES && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == BRES && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == BRES && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == BRES && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == CHIL && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == CHIL && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == CHIL && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == CHIL && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == CHIL && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == CHIL && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == COLO && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == COLO && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == COLO && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == COLO && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == COLO && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == COLO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AFSU && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AFSU && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AFSU && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AFSU && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AFSU && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AFSU && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == MARO && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == MARO && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == MARO && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == MARO && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == MARO && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == MARO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ISRA && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ISRA && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ISRA && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ISRA && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ISRA && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ISRA && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == HONG && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == HONG && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == HONG && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == HONG && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == HONG && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == HONG && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TCHE && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TCHE && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TCHE && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TCHE && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TCHE && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TCHE && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == POLO && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == POLO && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == POLO && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == POLO && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == POLO && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == POLO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SLVQ && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SLVQ && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SLVQ && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SLVQ && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SLVQ && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SLVQ && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == JAPO && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == JAPO && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == JAPO && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == JAPO && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == JAPO && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == JAPO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TAIW && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TAIW && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TAIW && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TAIW && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TAIW && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TAIW && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AUST && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AUST && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AUST && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AUST && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AUST && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AUST && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == URUG && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == URUG && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == URUG && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == URUG && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == URUG && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == URUG && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIB && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIB && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIB && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIB && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIB && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIB && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIC && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIC && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIC && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIC && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIC && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIC && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAID && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAID && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAID && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAID && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAID && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAID && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIF && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIF && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIF && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIF && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIF && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIF && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == EUOR && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == EUOR && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == EUOR && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == EUOR && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == EUOR && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == EUOR && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == CETI && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == CETI && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == CETI && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == CETI && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == CETI && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == CETI && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == FRAN && var76 == NINAV1 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == FRAN && var76 == NINAV1 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == FRAN && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == FRAN && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == FRAN && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == FRAN && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == FRAN && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == FRAN && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DOTO && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DOTO && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DOTO && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DOTO && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DOTO && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DOTO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ALLE && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ALLE && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ALLE && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ALLE && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ALLE && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ALLE && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == AUTR && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == AUTR && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == AUTR && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == AUTR && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == AUTR && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == AUTR && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == BELG && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == BELG && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == BELG && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == BELG && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == BELG && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == BELG && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DANE && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DANE && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DANE && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DANE && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DANE && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DANE && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ESPA && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ESPA && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ESPA && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ESPA && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ESPA && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ESPA && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == FINL && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == FINL && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == FINL && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == FINL && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == FINL && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == FINL && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == GRBR && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == GRBR && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == GRBR && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == GRBR && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == GRBR && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == GRBR && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == GREC && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == GREC && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == GREC && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == GREC && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == GREC && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == GREC && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == HOLL && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == HOLL && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == HOLL && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == HOLL && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == HOLL && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == HOLL && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == IRLA && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == IRLA && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == IRLA && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == IRLA && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == IRLA && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == IRLA && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ISLA && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ISLA && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ISLA && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ISLA && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ISLA && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ISLA && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ITAL && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ITAL && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ITAL && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ITAL && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ITAL && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ITAL && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == NORV && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == NORV && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == NORV && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == NORV && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == NORV && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == NORV && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == PORT && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == PORT && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == PORT && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == PORT && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == PORT && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == PORT && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == SUED && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == SUED && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == SUED && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == SUED && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == SUED && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == SUED && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == SUIS && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == SUIS && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == SUIS && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == SUIS && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == SUIS && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == SUIS && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == YOUG && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == YOUG && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == YOUG && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == YOUG && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == YOUG && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == YOUG && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == MAGH && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == MAGH && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == MAGH && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == MAGH && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == MAGH && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == MAGH && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == TURQ && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == TURQ && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == TURQ && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == TURQ && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == TURQ && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == TURQ && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ARGE && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ARGE && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ARGE && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ARGE && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ARGE && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ARGE && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == BRES && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == BRES && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == BRES && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == BRES && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == BRES && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == BRES && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == CHIL && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == CHIL && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == CHIL && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == CHIL && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == CHIL && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == CHIL && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == COLO && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == COLO && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == COLO && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == COLO && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == COLO && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == COLO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == AFSU && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == AFSU && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == AFSU && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == AFSU && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == AFSU && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == AFSU && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == MARO && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == MARO && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == MARO && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == MARO && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == MARO && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == MARO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ISRA && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ISRA && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ISRA && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ISRA && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ISRA && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ISRA && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == HONG && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == HONG && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == HONG && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == HONG && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == HONG && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == HONG && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == TCHE && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == TCHE && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == TCHE && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == TCHE && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == TCHE && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == TCHE && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == POLO && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == POLO && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == POLO && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == POLO && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == POLO && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == POLO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == SLVQ && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == SLVQ && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == SLVQ && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == SLVQ && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == SLVQ && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == SLVQ && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == JAPO && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == JAPO && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == JAPO && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == JAPO && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == JAPO && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == JAPO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == TAIW && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == TAIW && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == TAIW && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == TAIW && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == TAIW && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == TAIW && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == AUST && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == AUST && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == AUST && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == AUST && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == AUST && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == AUST && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == URUG && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == URUG && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == URUG && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == URUG && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == URUG && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == URUG && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DAIB && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DAIB && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DAIB && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DAIB && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DAIB && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DAIB && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DAIC && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DAIC && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DAIC && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DAIC && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DAIC && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DAIC && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DAID && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DAID && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DAID && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DAID && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DAID && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DAID && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DAIF && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DAIF && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DAIF && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DAIF && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DAIF && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DAIF && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == EUOR && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == EUOR && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == EUOR && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == EUOR && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == EUOR && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == EUOR && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == CETI && var76 == Autre408 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == CETI && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == CETI && var76 == Autre408 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == CETI && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == CETI && var76 == Autre408 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == CETI && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == FRAN && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var5 == FRAN && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var5 == FRAN && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == FRAN && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var5 == FRAN && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var5 == FRAN && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == FRAN && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var5 == FRAN && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var5 == FRAN && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DOTO && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var5 == DOTO && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var5 == DOTO && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DOTO && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var5 == DOTO && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var5 == DOTO && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DOTO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var5 == DOTO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var5 == DOTO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ALLE && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var5 == ALLE && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var5 == ALLE && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ALLE && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var5 == ALLE && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var5 == ALLE && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ALLE && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var5 == ALLE && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var5 == ALLE && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == AUTR && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var5 == AUTR && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var5 == AUTR && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == AUTR && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var5 == AUTR && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var5 == AUTR && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == AUTR && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var5 == AUTR && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var5 == AUTR && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == BELG && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var5 == BELG && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var5 == BELG && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == BELG && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var5 == BELG && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var5 == BELG && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == BELG && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var5 == BELG && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var5 == BELG && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DANE && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var5 == DANE && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var5 == DANE && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DANE && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var5 == DANE && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var5 == DANE && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DANE && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var5 == DANE && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var5 == DANE && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ESPA && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var5 == ESPA && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var5 == ESPA && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ESPA && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var5 == ESPA && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var5 == ESPA && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ESPA && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var5 == ESPA && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var5 == ESPA && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == FINL && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var5 == FINL && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var5 == FINL && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == FINL && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var5 == FINL && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var5 == FINL && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == FINL && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var5 == FINL && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var5 == FINL && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == GRBR && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var5 == GRBR && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var5 == GRBR && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == GRBR && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var5 == GRBR && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var5 == GRBR && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == GRBR && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var5 == GRBR && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var5 == GRBR && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == GREC && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var5 == GREC && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var5 == GREC && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == GREC && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var5 == GREC && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var5 == GREC && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == GREC && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var5 == GREC && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var5 == GREC && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == HOLL && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var5 == HOLL && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var5 == HOLL && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == HOLL && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var5 == HOLL && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var5 == HOLL && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == HOLL && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var5 == HOLL && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var5 == HOLL && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == IRLA && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var5 == IRLA && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var5 == IRLA && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == IRLA && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var5 == IRLA && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var5 == IRLA && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == IRLA && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var5 == IRLA && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var5 == IRLA && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ISLA && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var5 == ISLA && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var5 == ISLA && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ISLA && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var5 == ISLA && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var5 == ISLA && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ISLA && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var5 == ISLA && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var5 == ISLA && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ITAL && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var5 == ITAL && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var5 == ITAL && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ITAL && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var5 == ITAL && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var5 == ITAL && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ITAL && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var5 == ITAL && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var5 == ITAL && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == NORV && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var5 == NORV && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var5 == NORV && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == NORV && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var5 == NORV && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var5 == NORV && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == NORV && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var5 == NORV && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var5 == NORV && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == PORT && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var5 == PORT && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var5 == PORT && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == PORT && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var5 == PORT && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var5 == PORT && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == PORT && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var5 == PORT && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var5 == PORT && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == SUED && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var5 == SUED && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var5 == SUED && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == SUED && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var5 == SUED && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var5 == SUED && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == SUED && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var5 == SUED && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var5 == SUED && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == SUIS && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var5 == SUIS && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var5 == SUIS && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == SUIS && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var5 == SUIS && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var5 == SUIS && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == SUIS && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var5 == SUIS && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var5 == SUIS && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == YOUG && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var5 == YOUG && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var5 == YOUG && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == YOUG && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var5 == YOUG && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var5 == YOUG && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == YOUG && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var5 == YOUG && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var5 == YOUG && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == MAGH && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var5 == MAGH && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var5 == MAGH && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == MAGH && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var5 == MAGH && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var5 == MAGH && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == MAGH && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var5 == MAGH && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var5 == MAGH && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == TURQ && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var5 == TURQ && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var5 == TURQ && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == TURQ && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var5 == TURQ && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var5 == TURQ && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == TURQ && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var5 == TURQ && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var5 == TURQ && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ARGE && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var5 == ARGE && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var5 == ARGE && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ARGE && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var5 == ARGE && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var5 == ARGE && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ARGE && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var5 == ARGE && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var5 == ARGE && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == BRES && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var5 == BRES && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var5 == BRES && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == BRES && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var5 == BRES && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var5 == BRES && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == BRES && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var5 == BRES && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var5 == BRES && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == CHIL && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var5 == CHIL && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var5 == CHIL && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == CHIL && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var5 == CHIL && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var5 == CHIL && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == CHIL && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var5 == CHIL && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var5 == CHIL && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == COLO && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var5 == COLO && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var5 == COLO && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == COLO && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var5 == COLO && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var5 == COLO && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == COLO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var5 == COLO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var5 == COLO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == AFSU && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var5 == AFSU && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var5 == AFSU && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == AFSU && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var5 == AFSU && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var5 == AFSU && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == AFSU && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var5 == AFSU && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var5 == AFSU && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == MARO && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var5 == MARO && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var5 == MARO && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == MARO && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var5 == MARO && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var5 == MARO && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == MARO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var5 == MARO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var5 == MARO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ISRA && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var5 == ISRA && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var5 == ISRA && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ISRA && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var5 == ISRA && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var5 == ISRA && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ISRA && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var5 == ISRA && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var5 == ISRA && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == HONG && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var5 == HONG && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var5 == HONG && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == HONG && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var5 == HONG && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var5 == HONG && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == HONG && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var5 == HONG && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var5 == HONG && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == TCHE && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var5 == TCHE && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var5 == TCHE && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == TCHE && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var5 == TCHE && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var5 == TCHE && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == TCHE && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var5 == TCHE && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var5 == TCHE && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == POLO && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var5 == POLO && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var5 == POLO && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == POLO && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var5 == POLO && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var5 == POLO && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == POLO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var5 == POLO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var5 == POLO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == SLVQ && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var5 == SLVQ && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var5 == SLVQ && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == SLVQ && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var5 == SLVQ && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var5 == SLVQ && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == SLVQ && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var5 == SLVQ && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var5 == SLVQ && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == JAPO && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var5 == JAPO && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var5 == JAPO && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == JAPO && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var5 == JAPO && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var5 == JAPO && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == JAPO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var5 == JAPO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var5 == JAPO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == TAIW && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var5 == TAIW && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var5 == TAIW && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == TAIW && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var5 == TAIW && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var5 == TAIW && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == TAIW && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var5 == TAIW && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var5 == TAIW && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == AUST && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var5 == AUST && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var5 == AUST && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == AUST && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var5 == AUST && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var5 == AUST && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == AUST && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var5 == AUST && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var5 == AUST && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == URUG && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var5 == URUG && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var5 == URUG && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == URUG && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var5 == URUG && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var5 == URUG && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == URUG && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var5 == URUG && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var5 == URUG && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAIB && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var5 == DAIB && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var5 == DAIB && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAIB && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var5 == DAIB && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var5 == DAIB && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAIB && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var5 == DAIB && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var5 == DAIB && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAIC && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var5 == DAIC && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var5 == DAIC && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAIC && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var5 == DAIC && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var5 == DAIC && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAIC && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var5 == DAIC && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var5 == DAIC && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAID && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var5 == DAID && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var5 == DAID && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAID && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var5 == DAID && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var5 == DAID && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAID && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var5 == DAID && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var5 == DAID && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAIF && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var5 == DAIF && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var5 == DAIF && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAIF && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var5 == DAIF && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var5 == DAIF && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAIF && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var5 == DAIF && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var5 == DAIF && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == EUOR && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var5 == EUOR && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var5 == EUOR && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == EUOR && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var5 == EUOR && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var5 == EUOR && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == EUOR && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var5 == EUOR && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var5 == EUOR && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == CETI && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var5 == CETI && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var5 == CETI && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == CETI && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var5 == CETI && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var5 == CETI && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == CETI && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var5 == CETI && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var5 == CETI && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == FRAN && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == FRAN && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == FRAN && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == FRAN && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == FRAN && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == FRAN && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == FRAN && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == FRAN && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == FRAN && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DOTO && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DOTO && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == DOTO && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DOTO && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DOTO && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == DOTO && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DOTO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DOTO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == DOTO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ALLE && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ALLE && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == ALLE && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ALLE && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ALLE && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == ALLE && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ALLE && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ALLE && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == ALLE && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == AUTR && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == AUTR && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == AUTR && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == AUTR && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == AUTR && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == AUTR && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == AUTR && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == AUTR && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == AUTR && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == BELG && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == BELG && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == BELG && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == BELG && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == BELG && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == BELG && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == BELG && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == BELG && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == BELG && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DANE && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DANE && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == DANE && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DANE && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DANE && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == DANE && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DANE && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DANE && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == DANE && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ESPA && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ESPA && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == ESPA && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ESPA && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ESPA && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == ESPA && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ESPA && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ESPA && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == ESPA && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == FINL && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == FINL && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == FINL && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == FINL && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == FINL && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == FINL && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == FINL && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == FINL && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == FINL && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == GRBR && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == GRBR && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == GRBR && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == GRBR && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == GRBR && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == GRBR && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == GRBR && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == GRBR && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == GRBR && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == GREC && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == GREC && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == GREC && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == GREC && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == GREC && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == GREC && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == GREC && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == GREC && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == GREC && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == HOLL && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == HOLL && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == HOLL && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == HOLL && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == HOLL && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == HOLL && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == HOLL && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == HOLL && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == HOLL && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == IRLA && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == IRLA && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == IRLA && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == IRLA && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == IRLA && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == IRLA && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == IRLA && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == IRLA && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == IRLA && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ISLA && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ISLA && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == ISLA && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ISLA && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ISLA && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == ISLA && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ISLA && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ISLA && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == ISLA && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ITAL && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ITAL && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == ITAL && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ITAL && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ITAL && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == ITAL && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ITAL && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ITAL && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == ITAL && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == NORV && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == NORV && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == NORV && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == NORV && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == NORV && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == NORV && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == NORV && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == NORV && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == NORV && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == PORT && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == PORT && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == PORT && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == PORT && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == PORT && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == PORT && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == PORT && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == PORT && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == PORT && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == SUED && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == SUED && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == SUED && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == SUED && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == SUED && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == SUED && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == SUED && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == SUED && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == SUED && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == SUIS && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == SUIS && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == SUIS && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == SUIS && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == SUIS && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == SUIS && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == SUIS && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == SUIS && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == SUIS && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == YOUG && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == YOUG && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == YOUG && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == YOUG && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == YOUG && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == YOUG && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == YOUG && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == YOUG && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == YOUG && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == MAGH && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == MAGH && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == MAGH && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == MAGH && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == MAGH && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == MAGH && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == MAGH && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == MAGH && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == MAGH && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == TURQ && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == TURQ && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == TURQ && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == TURQ && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == TURQ && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == TURQ && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == TURQ && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == TURQ && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == TURQ && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ARGE && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ARGE && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == ARGE && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ARGE && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ARGE && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == ARGE && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ARGE && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ARGE && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == ARGE && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == BRES && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == BRES && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == BRES && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == BRES && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == BRES && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == BRES && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == BRES && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == BRES && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == BRES && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == CHIL && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == CHIL && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == CHIL && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == CHIL && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == CHIL && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == CHIL && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == CHIL && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == CHIL && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == CHIL && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == COLO && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == COLO && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == COLO && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == COLO && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == COLO && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == COLO && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == COLO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == COLO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == COLO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == AFSU && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == AFSU && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == AFSU && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == AFSU && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == AFSU && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == AFSU && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == AFSU && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == AFSU && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == AFSU && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == MARO && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == MARO && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == MARO && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == MARO && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == MARO && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == MARO && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == MARO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == MARO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == MARO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ISRA && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ISRA && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == ISRA && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ISRA && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ISRA && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == ISRA && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ISRA && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ISRA && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == ISRA && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == HONG && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == HONG && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == HONG && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == HONG && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == HONG && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == HONG && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == HONG && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == HONG && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == HONG && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == TCHE && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == TCHE && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == TCHE && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == TCHE && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == TCHE && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == TCHE && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == TCHE && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == TCHE && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == TCHE && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == POLO && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == POLO && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == POLO && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == POLO && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == POLO && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == POLO && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == POLO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == POLO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == POLO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == SLVQ && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == SLVQ && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == SLVQ && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == SLVQ && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == SLVQ && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == SLVQ && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == SLVQ && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == SLVQ && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == SLVQ && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == JAPO && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == JAPO && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == JAPO && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == JAPO && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == JAPO && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == JAPO && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == JAPO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == JAPO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == JAPO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == TAIW && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == TAIW && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == TAIW && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == TAIW && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == TAIW && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == TAIW && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == TAIW && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == TAIW && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == TAIW && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == AUST && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == AUST && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == AUST && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == AUST && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == AUST && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == AUST && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == AUST && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == AUST && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == AUST && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == URUG && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == URUG && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == URUG && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == URUG && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == URUG && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == URUG && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == URUG && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == URUG && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == URUG && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAIB && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DAIB && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == DAIB && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAIB && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DAIB && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == DAIB && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAIB && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DAIB && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == DAIB && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAIC && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DAIC && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == DAIC && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAIC && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DAIC && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == DAIC && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAIC && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DAIC && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == DAIC && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAID && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DAID && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == DAID && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAID && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DAID && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == DAID && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAID && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DAID && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == DAID && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAIF && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DAIF && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == DAIF && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAIF && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DAIF && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == DAIF && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAIF && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DAIF && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == DAIF && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == EUOR && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == EUOR && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == EUOR && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == EUOR && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == EUOR && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == EUOR && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == EUOR && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == EUOR && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == EUOR && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == CETI && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == CETI && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == CETI && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == CETI && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == CETI && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == CETI && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == CETI && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == CETI && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == CETI && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == FRAN && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var5 == FRAN && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var5 == FRAN && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == FRAN && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var5 == FRAN && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var5 == FRAN && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == FRAN && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var5 == FRAN && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var5 == FRAN && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == DOTO && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var5 == DOTO && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var5 == DOTO && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == DOTO && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var5 == DOTO && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var5 == DOTO && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == DOTO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var5 == DOTO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var5 == DOTO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == ALLE && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var5 == ALLE && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var5 == ALLE && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == ALLE && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var5 == ALLE && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var5 == ALLE && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == ALLE && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var5 == ALLE && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var5 == ALLE && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == AUTR && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var5 == AUTR && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var5 == AUTR && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == AUTR && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var5 == AUTR && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var5 == AUTR && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == AUTR && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var5 == AUTR && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var5 == AUTR && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == BELG && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var5 == BELG && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var5 == BELG && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == BELG && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var5 == BELG && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var5 == BELG && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == BELG && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var5 == BELG && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var5 == BELG && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == DANE && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var5 == DANE && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var5 == DANE && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == DANE && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var5 == DANE && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var5 == DANE && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == DANE && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var5 == DANE && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var5 == DANE && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == ESPA && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var5 == ESPA && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var5 == ESPA && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == ESPA && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var5 == ESPA && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var5 == ESPA && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == ESPA && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var5 == ESPA && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var5 == ESPA && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == FINL && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var5 == FINL && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var5 == FINL && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == FINL && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var5 == FINL && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var5 == FINL && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == FINL && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var5 == FINL && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var5 == FINL && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == GRBR && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var5 == GRBR && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var5 == GRBR && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == GRBR && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var5 == GRBR && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var5 == GRBR && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == GRBR && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var5 == GRBR && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var5 == GRBR && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == GREC && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var5 == GREC && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var5 == GREC && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == GREC && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var5 == GREC && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var5 == GREC && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == GREC && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var5 == GREC && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var5 == GREC && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == HOLL && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var5 == HOLL && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var5 == HOLL && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == HOLL && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var5 == HOLL && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var5 == HOLL && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == HOLL && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var5 == HOLL && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var5 == HOLL && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == IRLA && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var5 == IRLA && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var5 == IRLA && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == IRLA && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var5 == IRLA && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var5 == IRLA && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == IRLA && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var5 == IRLA && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var5 == IRLA && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == ISLA && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var5 == ISLA && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var5 == ISLA && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == ISLA && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var5 == ISLA && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var5 == ISLA && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == ISLA && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var5 == ISLA && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var5 == ISLA && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == ITAL && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var5 == ITAL && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var5 == ITAL && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == ITAL && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var5 == ITAL && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var5 == ITAL && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == ITAL && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var5 == ITAL && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var5 == ITAL && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == NORV && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var5 == NORV && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var5 == NORV && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == NORV && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var5 == NORV && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var5 == NORV && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == NORV && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var5 == NORV && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var5 == NORV && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == PORT && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var5 == PORT && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var5 == PORT && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == PORT && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var5 == PORT && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var5 == PORT && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == PORT && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var5 == PORT && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var5 == PORT && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == SUED && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var5 == SUED && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var5 == SUED && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == SUED && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var5 == SUED && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var5 == SUED && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == SUED && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var5 == SUED && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var5 == SUED && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == SUIS && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var5 == SUIS && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var5 == SUIS && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == SUIS && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var5 == SUIS && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var5 == SUIS && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == SUIS && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var5 == SUIS && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var5 == SUIS && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == YOUG && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var5 == YOUG && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var5 == YOUG && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == YOUG && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var5 == YOUG && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var5 == YOUG && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == YOUG && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var5 == YOUG && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var5 == YOUG && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == MAGH && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var5 == MAGH && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var5 == MAGH && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == MAGH && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var5 == MAGH && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var5 == MAGH && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == MAGH && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var5 == MAGH && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var5 == MAGH && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == TURQ && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var5 == TURQ && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var5 == TURQ && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == TURQ && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var5 == TURQ && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var5 == TURQ && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == TURQ && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var5 == TURQ && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var5 == TURQ && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == ARGE && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var5 == ARGE && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var5 == ARGE && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == ARGE && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var5 == ARGE && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var5 == ARGE && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == ARGE && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var5 == ARGE && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var5 == ARGE && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == BRES && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var5 == BRES && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var5 == BRES && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == BRES && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var5 == BRES && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var5 == BRES && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == BRES && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var5 == BRES && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var5 == BRES && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == CHIL && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var5 == CHIL && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var5 == CHIL && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == CHIL && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var5 == CHIL && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var5 == CHIL && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == CHIL && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var5 == CHIL && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var5 == CHIL && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == COLO && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var5 == COLO && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var5 == COLO && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == COLO && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var5 == COLO && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var5 == COLO && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == COLO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var5 == COLO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var5 == COLO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == AFSU && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var5 == AFSU && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var5 == AFSU && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == AFSU && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var5 == AFSU && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var5 == AFSU && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == AFSU && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var5 == AFSU && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var5 == AFSU && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == MARO && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var5 == MARO && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var5 == MARO && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == MARO && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var5 == MARO && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var5 == MARO && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == MARO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var5 == MARO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var5 == MARO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == ISRA && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var5 == ISRA && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var5 == ISRA && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == ISRA && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var5 == ISRA && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var5 == ISRA && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == ISRA && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var5 == ISRA && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var5 == ISRA && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == HONG && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var5 == HONG && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var5 == HONG && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == HONG && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var5 == HONG && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var5 == HONG && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == HONG && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var5 == HONG && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var5 == HONG && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == TCHE && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var5 == TCHE && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var5 == TCHE && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == TCHE && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var5 == TCHE && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var5 == TCHE && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == TCHE && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var5 == TCHE && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var5 == TCHE && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == POLO && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var5 == POLO && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var5 == POLO && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == POLO && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var5 == POLO && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var5 == POLO && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == POLO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var5 == POLO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var5 == POLO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == SLVQ && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var5 == SLVQ && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var5 == SLVQ && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == SLVQ && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var5 == SLVQ && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var5 == SLVQ && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == SLVQ && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var5 == SLVQ && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var5 == SLVQ && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == JAPO && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var5 == JAPO && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var5 == JAPO && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == JAPO && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var5 == JAPO && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var5 == JAPO && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == JAPO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var5 == JAPO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var5 == JAPO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == TAIW && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var5 == TAIW && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var5 == TAIW && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == TAIW && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var5 == TAIW && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var5 == TAIW && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == TAIW && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var5 == TAIW && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var5 == TAIW && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == AUST && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var5 == AUST && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var5 == AUST && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == AUST && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var5 == AUST && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var5 == AUST && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == AUST && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var5 == AUST && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var5 == AUST && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == URUG && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var5 == URUG && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var5 == URUG && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == URUG && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var5 == URUG && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var5 == URUG && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == URUG && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var5 == URUG && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var5 == URUG && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == DAIB && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var5 == DAIB && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var5 == DAIB && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == DAIB && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var5 == DAIB && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var5 == DAIB && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == DAIB && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var5 == DAIB && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var5 == DAIB && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == DAIC && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var5 == DAIC && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var5 == DAIC && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == DAIC && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var5 == DAIC && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var5 == DAIC && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == DAIC && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var5 == DAIC && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var5 == DAIC && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == DAID && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var5 == DAID && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var5 == DAID && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == DAID && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var5 == DAID && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var5 == DAID && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == DAID && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var5 == DAID && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var5 == DAID && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == DAIF && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var5 == DAIF && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var5 == DAIF && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == DAIF && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var5 == DAIF && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var5 == DAIF && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == DAIF && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var5 == DAIF && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var5 == DAIF && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == EUOR && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var5 == EUOR && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var5 == EUOR && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == EUOR && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var5 == EUOR && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var5 == EUOR && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == EUOR && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var5 == EUOR && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var5 == EUOR && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == CETI && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var5 == CETI && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var5 == CETI && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == CETI && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var5 == CETI && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var5 == CETI && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == CETI && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var5 == CETI && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var5 == CETI && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == FRAN && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var5 == FRAN && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var5 == FRAN && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == FRAN && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var5 == FRAN && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var5 == FRAN && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == FRAN && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var5 == FRAN && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var5 == FRAN && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == DOTO && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var5 == DOTO && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var5 == DOTO && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == DOTO && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var5 == DOTO && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var5 == DOTO && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == DOTO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var5 == DOTO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var5 == DOTO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == ALLE && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var5 == ALLE && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var5 == ALLE && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == ALLE && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var5 == ALLE && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var5 == ALLE && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == ALLE && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var5 == ALLE && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var5 == ALLE && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == AUTR && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var5 == AUTR && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var5 == AUTR && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == AUTR && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var5 == AUTR && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var5 == AUTR && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == AUTR && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var5 == AUTR && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var5 == AUTR && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == BELG && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var5 == BELG && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var5 == BELG && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == BELG && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var5 == BELG && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var5 == BELG && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == BELG && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var5 == BELG && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var5 == BELG && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == DANE && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var5 == DANE && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var5 == DANE && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == DANE && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var5 == DANE && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var5 == DANE && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == DANE && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var5 == DANE && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var5 == DANE && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == ESPA && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var5 == ESPA && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var5 == ESPA && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == ESPA && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var5 == ESPA && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var5 == ESPA && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == ESPA && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var5 == ESPA && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var5 == ESPA && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == FINL && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var5 == FINL && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var5 == FINL && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == FINL && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var5 == FINL && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var5 == FINL && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == FINL && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var5 == FINL && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var5 == FINL && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == GRBR && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var5 == GRBR && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var5 == GRBR && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == GRBR && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var5 == GRBR && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var5 == GRBR && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == GRBR && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var5 == GRBR && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var5 == GRBR && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == GREC && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var5 == GREC && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var5 == GREC && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == GREC && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var5 == GREC && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var5 == GREC && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == GREC && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var5 == GREC && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var5 == GREC && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == HOLL && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var5 == HOLL && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var5 == HOLL && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == HOLL && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var5 == HOLL && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var5 == HOLL && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == HOLL && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var5 == HOLL && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var5 == HOLL && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == IRLA && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var5 == IRLA && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var5 == IRLA && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == IRLA && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var5 == IRLA && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var5 == IRLA && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == IRLA && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var5 == IRLA && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var5 == IRLA && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == ISLA && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var5 == ISLA && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var5 == ISLA && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == ISLA && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var5 == ISLA && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var5 == ISLA && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == ISLA && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var5 == ISLA && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var5 == ISLA && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == ITAL && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var5 == ITAL && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var5 == ITAL && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == ITAL && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var5 == ITAL && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var5 == ITAL && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == ITAL && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var5 == ITAL && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var5 == ITAL && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == NORV && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var5 == NORV && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var5 == NORV && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == NORV && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var5 == NORV && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var5 == NORV && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == NORV && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var5 == NORV && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var5 == NORV && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == PORT && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var5 == PORT && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var5 == PORT && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == PORT && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var5 == PORT && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var5 == PORT && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == PORT && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var5 == PORT && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var5 == PORT && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == SUED && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var5 == SUED && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var5 == SUED && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == SUED && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var5 == SUED && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var5 == SUED && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == SUED && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var5 == SUED && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var5 == SUED && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == SUIS && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var5 == SUIS && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var5 == SUIS && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == SUIS && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var5 == SUIS && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var5 == SUIS && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == SUIS && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var5 == SUIS && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var5 == SUIS && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == YOUG && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var5 == YOUG && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var5 == YOUG && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == YOUG && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var5 == YOUG && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var5 == YOUG && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == YOUG && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var5 == YOUG && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var5 == YOUG && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == MAGH && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var5 == MAGH && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var5 == MAGH && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == MAGH && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var5 == MAGH && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var5 == MAGH && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == MAGH && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var5 == MAGH && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var5 == MAGH && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == TURQ && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var5 == TURQ && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var5 == TURQ && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == TURQ && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var5 == TURQ && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var5 == TURQ && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == TURQ && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var5 == TURQ && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var5 == TURQ && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == ARGE && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var5 == ARGE && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var5 == ARGE && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == ARGE && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var5 == ARGE && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var5 == ARGE && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == ARGE && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var5 == ARGE && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var5 == ARGE && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == BRES && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var5 == BRES && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var5 == BRES && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == BRES && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var5 == BRES && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var5 == BRES && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == BRES && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var5 == BRES && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var5 == BRES && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == CHIL && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var5 == CHIL && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var5 == CHIL && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == CHIL && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var5 == CHIL && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var5 == CHIL && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == CHIL && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var5 == CHIL && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var5 == CHIL && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == COLO && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var5 == COLO && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var5 == COLO && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == COLO && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var5 == COLO && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var5 == COLO && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == COLO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var5 == COLO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var5 == COLO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == AFSU && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var5 == AFSU && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var5 == AFSU && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == AFSU && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var5 == AFSU && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var5 == AFSU && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == AFSU && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var5 == AFSU && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var5 == AFSU && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == MARO && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var5 == MARO && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var5 == MARO && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == MARO && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var5 == MARO && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var5 == MARO && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == MARO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var5 == MARO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var5 == MARO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == ISRA && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var5 == ISRA && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var5 == ISRA && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == ISRA && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var5 == ISRA && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var5 == ISRA && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == ISRA && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var5 == ISRA && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var5 == ISRA && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == HONG && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var5 == HONG && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var5 == HONG && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == HONG && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var5 == HONG && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var5 == HONG && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == HONG && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var5 == HONG && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var5 == HONG && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == TCHE && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var5 == TCHE && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var5 == TCHE && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == TCHE && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var5 == TCHE && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var5 == TCHE && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == TCHE && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var5 == TCHE && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var5 == TCHE && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == POLO && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var5 == POLO && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var5 == POLO && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == POLO && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var5 == POLO && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var5 == POLO && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == POLO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var5 == POLO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var5 == POLO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == SLVQ && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var5 == SLVQ && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var5 == SLVQ && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == SLVQ && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var5 == SLVQ && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var5 == SLVQ && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == SLVQ && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var5 == SLVQ && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var5 == SLVQ && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == JAPO && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var5 == JAPO && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var5 == JAPO && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == JAPO && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var5 == JAPO && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var5 == JAPO && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == JAPO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var5 == JAPO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var5 == JAPO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == TAIW && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var5 == TAIW && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var5 == TAIW && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == TAIW && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var5 == TAIW && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var5 == TAIW && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == TAIW && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var5 == TAIW && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var5 == TAIW && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == AUST && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var5 == AUST && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var5 == AUST && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == AUST && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var5 == AUST && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var5 == AUST && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == AUST && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var5 == AUST && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var5 == AUST && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == URUG && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var5 == URUG && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var5 == URUG && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == URUG && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var5 == URUG && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var5 == URUG && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == URUG && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var5 == URUG && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var5 == URUG && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == DAIB && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var5 == DAIB && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var5 == DAIB && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == DAIB && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var5 == DAIB && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var5 == DAIB && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == DAIB && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var5 == DAIB && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var5 == DAIB && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == DAIC && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var5 == DAIC && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var5 == DAIC && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == DAIC && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var5 == DAIC && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var5 == DAIC && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == DAIC && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var5 == DAIC && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var5 == DAIC && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == DAID && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var5 == DAID && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var5 == DAID && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == DAID && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var5 == DAID && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var5 == DAID && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == DAID && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var5 == DAID && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var5 == DAID && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == DAIF && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var5 == DAIF && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var5 == DAIF && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == DAIF && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var5 == DAIF && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var5 == DAIF && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == DAIF && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var5 == DAIF && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var5 == DAIF && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == EUOR && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var5 == EUOR && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var5 == EUOR && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == EUOR && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var5 == EUOR && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var5 == EUOR && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == EUOR && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var5 == EUOR && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var5 == EUOR && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == CETI && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var5 == CETI && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var5 == CETI && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == CETI && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var5 == CETI && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var5 == CETI && var76 == Autre408 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == CETI && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var5 == CETI && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var5 == CETI && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == FRAN && var76 == NINAV1 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == FRAN && var76 == NINAV1 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == FRAN && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == FRAN && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == DOTO && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == DOTO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == ALLE && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == ALLE && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == AUTR && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == AUTR && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == BELG && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == BELG && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == DANE && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == DANE && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == ESPA && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == ESPA && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == FINL && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == FINL && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == GRBR && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == GRBR && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == GREC && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == GREC && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == HOLL && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == HOLL && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == IRLA && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == IRLA && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == ISLA && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == ISLA && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == ITAL && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == ITAL && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == NORV && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == NORV && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == PORT && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == PORT && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == SUED && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == SUED && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == SUIS && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == SUIS && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == YOUG && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == YOUG && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == MAGH && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == MAGH && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == TURQ && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == TURQ && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == ARGE && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == ARGE && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == BRES && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == BRES && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == CHIL && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == CHIL && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == COLO && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == COLO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == AFSU && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == AFSU && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == MARO && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == MARO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == ISRA && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == ISRA && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == HONG && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == HONG && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == TCHE && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == TCHE && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == POLO && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == POLO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == SLVQ && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == SLVQ && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == JAPO && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == JAPO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == TAIW && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == TAIW && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == AUST && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == AUST && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == URUG && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == URUG && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == DAIB && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == DAIB && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == DAIC && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == DAIC && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == DAID && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == DAID && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == DAIF && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == DAIF && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == EUOR && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == EUOR && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == CETI && var76 == Autre408 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == CETI && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == FRAN && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == DOTO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == ALLE && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == AUTR && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == BELG && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == DANE && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == ESPA && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == FINL && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == GRBR && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == GREC && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == HOLL && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == IRLA && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == ISLA && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == ITAL && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == NORV && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == PORT && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == SUED && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == SUIS && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == YOUG && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == MAGH && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == TURQ && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == ARGE && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == BRES && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == CHIL && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == COLO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == AFSU && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == MARO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == ISRA && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == HONG && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == TCHE && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == POLO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == SLVQ && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == JAPO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == TAIW && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == AUST && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == URUG && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == DAIB && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == DAIC && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == DAID && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == DAIF && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == EUOR && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == CETI && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == FRAN && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == DOTO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == ALLE && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == AUTR && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == BELG && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == DANE && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == ESPA && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == FINL && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == GRBR && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == GREC && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == HOLL && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == IRLA && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == ISLA && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == ITAL && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == NORV && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == PORT && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == SUED && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == SUIS && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == YOUG && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == MAGH && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == TURQ && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == ARGE && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == BRES && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == CHIL && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == COLO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == AFSU && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == MARO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == ISRA && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == HONG && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == TCHE && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == POLO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == SLVQ && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == JAPO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == TAIW && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == AUST && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == URUG && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == DAIB && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == DAIC && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == DAID && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == DAIF && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == EUOR && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == CETI && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var5 == FRAN && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var5 == DOTO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var5 == ALLE && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var5 == AUTR && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var5 == BELG && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var5 == DANE && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var5 == ESPA && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var5 == FINL && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var5 == GRBR && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var5 == GREC && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var5 == HOLL && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var5 == IRLA && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var5 == ISLA && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var5 == ITAL && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var5 == NORV && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var5 == PORT && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var5 == SUED && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var5 == SUIS && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var5 == YOUG && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var5 == MAGH && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var5 == TURQ && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var5 == ARGE && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var5 == BRES && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var5 == CHIL && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var5 == COLO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var5 == AFSU && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var5 == MARO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var5 == ISRA && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var5 == HONG && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var5 == TCHE && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var5 == POLO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var5 == SLVQ && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var5 == JAPO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var5 == TAIW && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var5 == AUST && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var5 == URUG && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var5 == DAIB && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var5 == DAIC && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var5 == DAID && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var5 == DAIF && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var5 == EUOR && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var5 == CETI && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == FRAN && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == DOTO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == ALLE && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == AUTR && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == BELG && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == DANE && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == ESPA && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == FINL && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == GRBR && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == GREC && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == HOLL && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == IRLA && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == ISLA && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == ITAL && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == NORV && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == PORT && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == SUED && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == SUIS && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == YOUG && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == MAGH && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == TURQ && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == ARGE && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == BRES && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == CHIL && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == COLO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == AFSU && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == MARO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == ISRA && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == HONG && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == TCHE && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == POLO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == SLVQ && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == JAPO && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == TAIW && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == AUST && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == URUG && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == DAIB && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == DAIC && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == DAID && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == DAIF && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == EUOR && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == CETI && var76 == Autre408 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) ) ); ( ( ( var1 == S64 && var2 == E1 && var79 == SSABCO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var79 == SSABCO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var79 == ABCO01 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var79 == ABCO01 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var79 == SSABCO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var79 == SSABCO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var79 == ABCO01 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var79 == ABCO01 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var79 == SSABCO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var79 == SSABCO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var79 == SSABCO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var79 == ABCO01 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var79 == ABCO01 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var79 == ABCO01 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var79 == SSABCO && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var79 == SSABCO && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var79 == SSABCO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var79 == SSABCO && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var79 == SSABCO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var79 == SSABCO && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var79 == SSABCO && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var79 == SSABCO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var79 == ABCO01 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var79 == ABCO01 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var79 == ABCO01 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var79 == ABCO01 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var79 == ABCO01 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var79 == ABCO01 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var79 == ABCO01 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var79 == ABCO01 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var79 == ABCO01 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var79 == ABCO01 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var79 == SSABCO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var79 == ABCO01 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var79 == ABCO01 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var79 == SSABCO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var79 == SSABCO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var79 == ABCO01 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var79 == ABCO01 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var79 == ABCO01 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var79 == ABCO01 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var79 == ABCO01 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var79 == SSABCO && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var79 == SSABCO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var79 == SSABCO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var79 == SSABCO && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var79 == SSABCO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var79 == ABCO01 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var79 == ABCO01 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var79 == ABCO01 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var79 == ABCO01 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var79 == ABCO01 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var79 == ABCO01 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var79 == ABCO01 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var79 == SSABCO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var79 == SSABCO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var79 == SSABCO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var79 == ABCO01 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var79 == ABCO01 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var79 == ABCO01 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var79 == SSABCO && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var79 == SSABCO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var79 == SSABCO && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var79 == SSABCO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var79 == SSABCO && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var79 == SSABCO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var79 == ABCO01 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var79 == ABCO01 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var79 == ABCO01 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var79 == ABCO01 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var79 == ABCO01 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var79 == ABCO01 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var79 == ABCO01 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var79 == ABCO01 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var79 == ABCO01 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var79 == SSABCO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var79 == SSABCO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var79 == ABCO01 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var79 == ABCO01 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var79 == SSABCO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var79 == SSABCO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var79 == ABCO01 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var79 == SSABCO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var79 == ABCO01 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) ) ); ( ( ( var1 == S64 && var2 == E1 && var84 == SECLAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var84 == SECLAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var84 == ECLAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var84 == ECLAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var84 == SECLAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var84 == SECLAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var84 == SECLAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var84 == ECLAR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var84 == ECLAR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var84 == ECLAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var84 == ECLAR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var84 == ECLAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var84 == ECLAR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var84 == ECLAR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var84 == ECLAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var84 == ECLAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var84 == ECLAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var84 == SECLAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var84 == SECLAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var84 == SECLAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var84 == SECLAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var84 == SECLAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var84 == SECLAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var84 == SECLAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var84 == ECLAR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var84 == ECLAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var84 == ECLAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var84 == ECLAR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var84 == ECLAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var84 == ECLAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var84 == ECLAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var84 == SECLAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var84 == SECLAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var84 == SECLAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var84 == ECLAR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var84 == ECLAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var84 == ECLAR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var84 == ECLAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var84 == ECLAR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var84 == ECLAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var84 == ECLAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var84 == ECLAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var84 == ECLAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var84 == ECLAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var84 == ECLAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var84 == SECLAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var84 == SECLAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var84 == ECLAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) ) ); ( ( ( var1 == S64 && var2 == E1 && var86 == SACPLA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var86 == SACPLA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var86 == SACPLA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var86 == SACPLA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var86 == SACPLA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var86 == SACPLA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var86 == SACPLA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var86 == SACPLA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var86 == SACPLA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var86 == SACPLA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var86 == SACPLA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var86 == SACPLA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var86 == SACPLA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var86 == SACPLA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var86 == SACPLA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var86 == SACPLA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var86 == SACPLA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var86 == SACPLA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var86 == SACPLA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var86 == SACPLA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var86 == SACPLA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var86 == SACPLA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var86 == SACPLA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var86 == SACPLA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var86 == SACPLA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var86 == SACPLA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var86 == SACPLA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var86 == SACPLA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var86 == SACPLA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var86 == ACPLAR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var86 == ACPLAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var86 == ACPLAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var86 == ACPLAR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var86 == ACPLAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var86 == ACPLAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var86 == ACPLAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var86 == SACPLA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var86 == SACPLA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var86 == SACPLA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var86 == SACPLA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var86 == SACPLA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var86 == SACPLA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var86 == SACPLA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var86 == SACPLA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var86 == SACPLA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var86 == SACPLA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var86 == SACPLA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var86 == SACPLA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var86 == SACPLA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var86 == SACPLA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var86 == SACPLA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var86 == SACPLA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var86 == SACPLA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) ) ); ( ( ( var1 == S64 && var2 == E1 && var3 == M9 && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var89 == EVE && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var89 == EVE && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var89 == EVE && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var89 == EVE && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var89 == EVE && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var89 == EVE && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var89 == EVE && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var89 == EVE && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var89 == EVE && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var89 == EVE && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var89 == EVE && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var89 == EVE && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var89 == EVE && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var89 == EVE && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var89 == EVE && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var89 == EVE && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var89 == EVE && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var89 == EVE && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var89 == EVE && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var89 == EVE && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var89 == EVE && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var89 == EVE && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var89 == EVE && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var89 == EVE && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var89 == EVE && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var89 == EVA && var90 == SDPCLV && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var89 == EVA && var90 == ANTID && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var89 == EVA && var90 == ANTIDI && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var89 == EVE && var90 == SDPCLV && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var89 == EVE && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var89 == Autre513 && var90 == SDPCLV && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var89 == Autre513 && var90 == ANTID && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var89 == Autre513 && var90 == ANTIDI && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var89 == EVA && var90 == SDPCLV && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var89 == EVA && var90 == ANTID && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var89 == EVA && var90 == ANTIDI && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var89 == EVE && var90 == SDPCLV && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var89 == EVE && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var89 == Autre513 && var90 == SDPCLV && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var89 == Autre513 && var90 == ANTID && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var89 == Autre513 && var90 == ANTIDI && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var89 == EVA && var90 == SDPCLV && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var89 == EVA && var90 == ANTID && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var89 == EVA && var90 == ANTIDI && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var89 == EVE && var90 == SDPCLV && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var89 == EVE && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var89 == Autre513 && var90 == SDPCLV && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var89 == Autre513 && var90 == ANTID && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var89 == Autre513 && var90 == ANTIDI && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var89 == EVA && var90 == ANTID && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var89 == EVA && var90 == ANTIDI && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var89 == Autre513 && var90 == ANTID && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var89 == Autre513 && var90 == ANTIDI && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var89 == EVA && var90 == ANTID && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var89 == EVA && var90 == ANTIDI && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var89 == Autre513 && var90 == ANTID && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var89 == Autre513 && var90 == ANTIDI && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var89 == EVA && var90 == SDPCLV && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MA && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var89 == EVA && var90 == ANTID && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MA && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var89 == EVA && var90 == ANTIDI && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var89 == EVE && var90 == SDPCLV && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MA && var89 == EVE && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MA && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MA && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var89 == Autre513 && var90 == SDPCLV && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MA && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var89 == Autre513 && var90 == ANTID && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MA && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var89 == Autre513 && var90 == ANTIDI && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var89 == EVA && var90 == SDPCLV && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var89 == EVA && var90 == ANTID && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var89 == EVA && var90 == ANTIDI && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var89 == EVE && var90 == SDPCLV && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var89 == EVE && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var89 == Autre513 && var90 == SDPCLV && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var89 == Autre513 && var90 == ANTID && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var89 == Autre513 && var90 == ANTIDI && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var89 == EVA && var90 == SDPCLV && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MC && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var89 == EVA && var90 == ANTID && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MC && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var89 == EVA && var90 == ANTIDI && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var89 == EVE && var90 == SDPCLV && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MC && var89 == EVE && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MC && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MC && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var89 == Autre513 && var90 == SDPCLV && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MC && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var89 == Autre513 && var90 == ANTID && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MC && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var89 == Autre513 && var90 == ANTIDI && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var89 == EVA && var90 == SDPCLV && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MD && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var89 == EVA && var90 == ANTID && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MD && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var89 == EVA && var90 == ANTIDI && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var89 == EVE && var90 == SDPCLV && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MD && var89 == EVE && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MD && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MD && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var89 == Autre513 && var90 == SDPCLV && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MD && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var89 == Autre513 && var90 == ANTID && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MD && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var89 == Autre513 && var90 == ANTIDI && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var89 == EVA && var90 == SDPCLV && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ME && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var89 == EVA && var90 == ANTID && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ME && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var89 == EVA && var90 == ANTIDI && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var89 == EVE && var90 == SDPCLV && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ME && var89 == EVE && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ME && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ME && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var89 == Autre513 && var90 == SDPCLV && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ME && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var89 == Autre513 && var90 == ANTID && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ME && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var89 == Autre513 && var90 == ANTIDI && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var89 == EVA && var90 == SDPCLV && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MF && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var89 == EVA && var90 == ANTID && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MF && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var89 == EVA && var90 == ANTIDI && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var89 == EVE && var90 == SDPCLV && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var89 == EVE && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var89 == Autre513 && var90 == SDPCLV && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MF && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var89 == Autre513 && var90 == ANTID && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MF && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var89 == Autre513 && var90 == ANTIDI && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var89 == EVA && var90 == SDPCLV && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var89 == EVA && var90 == ANTID && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var89 == EVA && var90 == ANTIDI && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var89 == EVE && var90 == SDPCLV && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var89 == EVE && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var89 == Autre513 && var90 == SDPCLV && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var89 == Autre513 && var90 == ANTID && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var89 == Autre513 && var90 == ANTIDI && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MK && var89 == EVA && var90 == ANTID && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MK && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var89 == EVA && var90 == ANTIDI && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MK && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MK && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MK && var89 == Autre513 && var90 == ANTID && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MK && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var89 == Autre513 && var90 == ANTIDI && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var89 == EVA && var90 == SDPCLV && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ML && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var89 == EVA && var90 == ANTID && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ML && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var89 == EVA && var90 == ANTIDI && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var89 == EVE && var90 == SDPCLV && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ML && var89 == EVE && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ML && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ML && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var89 == Autre513 && var90 == SDPCLV && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ML && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var89 == Autre513 && var90 == ANTID && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ML && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var89 == Autre513 && var90 == ANTIDI && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var89 == EVA && var90 == SDPCLV && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MM && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var89 == EVA && var90 == ANTID && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MM && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var89 == EVA && var90 == ANTIDI && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var89 == EVE && var90 == SDPCLV && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MM && var89 == EVE && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MM && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MM && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var89 == Autre513 && var90 == SDPCLV && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MM && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var89 == Autre513 && var90 == ANTID && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MM && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var89 == Autre513 && var90 == ANTIDI && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var89 == EVA && var90 == SDPCLV && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MS && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var89 == EVA && var90 == ANTID && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MS && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var89 == EVA && var90 == ANTIDI && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var89 == EVE && var90 == SDPCLV && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MS && var89 == EVE && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MS && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MS && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var89 == Autre513 && var90 == SDPCLV && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MS && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var89 == Autre513 && var90 == ANTID && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MS && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var89 == Autre513 && var90 == ANTIDI && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var89 == EVA && var90 == SDPCLV && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MT && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var89 == EVA && var90 == ANTID && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MT && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var89 == EVA && var90 == ANTIDI && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var89 == EVE && var90 == SDPCLV && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MT && var89 == EVE && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MT && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MT && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var89 == Autre513 && var90 == SDPCLV && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MT && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var89 == Autre513 && var90 == ANTID && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MT && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var89 == Autre513 && var90 == ANTIDI && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var89 == EVA && var90 == SDPCLV && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MU && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var89 == EVA && var90 == ANTID && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MU && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var89 == EVA && var90 == ANTIDI && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var89 == EVE && var90 == SDPCLV && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MU && var89 == EVE && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MU && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MU && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var89 == Autre513 && var90 == SDPCLV && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MU && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var89 == Autre513 && var90 == ANTID && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MU && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var89 == Autre513 && var90 == ANTIDI && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var89 == EVA && var90 == SDPCLV && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MN && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var89 == EVA && var90 == ANTID && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MN && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var89 == EVA && var90 == ANTIDI && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var89 == EVE && var90 == SDPCLV && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MN && var89 == EVE && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MN && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MN && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var89 == Autre513 && var90 == SDPCLV && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MN && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var89 == Autre513 && var90 == ANTID && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MN && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var89 == Autre513 && var90 == ANTIDI && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MH && var89 == EVA && var90 == ANTID && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MH && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var89 == EVA && var90 == ANTIDI && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MH && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MH && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MH && var89 == Autre513 && var90 == ANTID && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MH && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var89 == Autre513 && var90 == ANTIDI && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var89 == EVA && var90 == ANTID && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var89 == EVA && var90 == ANTIDI && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var89 == Autre513 && var90 == ANTID && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var89 == Autre513 && var90 == ANTIDI && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MY && var89 == EVA && var90 == ANTID && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MY && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var89 == EVA && var90 == ANTIDI && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MY && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MY && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MY && var89 == Autre513 && var90 == ANTID && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MY && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var89 == Autre513 && var90 == ANTIDI && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var89 == EVA && var90 == SDPCLV && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var89 == EVA && var90 == ANTID && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var89 == EVA && var90 == ANTIDI && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var89 == EVE && var90 == SDPCLV && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var89 == EVE && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var89 == Autre513 && var90 == SDPCLV && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var89 == Autre513 && var90 == ANTID && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var89 == Autre513 && var90 == ANTIDI && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var89 == EVA && var90 == SDPCLV && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var89 == EVA && var90 == ANTID && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var89 == EVA && var90 == ANTIDI && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var89 == EVE && var90 == SDPCLV && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var89 == EVE && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var89 == Autre513 && var90 == SDPCLV && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var89 == Autre513 && var90 == ANTID && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var89 == Autre513 && var90 == ANTIDI && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var89 == EVA && var90 == SDPCLV && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var89 == EVA && var90 == ANTID && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var89 == EVA && var90 == ANTIDI && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var89 == EVE && var90 == SDPCLV && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var89 == EVE && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var89 == Autre513 && var90 == SDPCLV && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var89 == Autre513 && var90 == ANTID && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var89 == Autre513 && var90 == ANTIDI && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var89 == EVE && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MS && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MS && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MS && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MS && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MU && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MU && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MU && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MU && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MS && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MS && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MS && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MS && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MS && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MS && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MU && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MU && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MU && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MU && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MU && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MU && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var89 == EVA && var90 == SDPCLV && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var89 == EVA && var90 == ANTID && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var89 == EVA && var90 == ANTIDI && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var89 == EVE && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var89 == Autre513 && var90 == SDPCLV && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var89 == Autre513 && var90 == ANTID && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var89 == Autre513 && var90 == ANTIDI && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var89 == EVA && var90 == SDPCLV && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var89 == EVA && var90 == ANTID && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var89 == EVA && var90 == ANTIDI && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var89 == EVE && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var89 == Autre513 && var90 == SDPCLV && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var89 == Autre513 && var90 == ANTID && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var89 == Autre513 && var90 == ANTIDI && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var89 == EVA && var90 == SDPCLV && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var89 == EVA && var90 == ANTID && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var89 == EVA && var90 == ANTIDI && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var89 == EVE && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var89 == Autre513 && var90 == SDPCLV && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var89 == Autre513 && var90 == ANTID && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var89 == Autre513 && var90 == ANTIDI && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var89 == EVA && var90 == ANTID && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var89 == EVA && var90 == ANTIDI && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var89 == Autre513 && var90 == ANTID && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var89 == Autre513 && var90 == ANTIDI && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var89 == EVA && var90 == SDPCLV && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var89 == EVA && var90 == ANTID && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var89 == EVA && var90 == ANTIDI && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var89 == EVE && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var89 == Autre513 && var90 == SDPCLV && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var89 == Autre513 && var90 == ANTID && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var89 == Autre513 && var90 == ANTIDI && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var89 == EVA && var90 == SDPCLV && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var89 == EVA && var90 == ANTID && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var89 == EVA && var90 == ANTIDI && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var89 == EVE && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var89 == Autre513 && var90 == SDPCLV && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var89 == Autre513 && var90 == ANTID && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var89 == Autre513 && var90 == ANTIDI && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var89 == EVA && var90 == SDPCLV && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var89 == EVA && var90 == ANTID && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var89 == EVA && var90 == ANTIDI && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var89 == EVE && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var89 == Autre513 && var90 == SDPCLV && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var89 == Autre513 && var90 == ANTID && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var89 == Autre513 && var90 == ANTIDI && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var89 == EVA && var90 == SDPCLV && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var89 == EVA && var90 == ANTID && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var89 == EVA && var90 == ANTIDI && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var89 == EVE && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var89 == Autre513 && var90 == SDPCLV && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var89 == Autre513 && var90 == ANTID && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var89 == Autre513 && var90 == ANTIDI && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var89 == EVA && var90 == SDPCLV && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var89 == EVA && var90 == ANTID && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var89 == EVA && var90 == ANTIDI && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var89 == EVE && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var89 == Autre513 && var90 == SDPCLV && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var89 == Autre513 && var90 == ANTID && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var89 == Autre513 && var90 == ANTIDI && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var89 == EVA && var90 == SDPCLV && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var89 == EVA && var90 == ANTID && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var89 == EVA && var90 == ANTIDI && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var89 == EVE && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var89 == Autre513 && var90 == SDPCLV && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var89 == Autre513 && var90 == ANTID && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var89 == Autre513 && var90 == ANTIDI && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var89 == EVA && var90 == SDPCLV && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var89 == EVA && var90 == ANTID && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var89 == EVA && var90 == ANTIDI && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var89 == EVE && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var89 == Autre513 && var90 == SDPCLV && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var89 == Autre513 && var90 == ANTID && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var89 == Autre513 && var90 == ANTIDI && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var89 == EVA && var90 == SDPCLV && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var89 == EVA && var90 == ANTID && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var89 == EVA && var90 == ANTIDI && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var89 == EVE && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var89 == Autre513 && var90 == SDPCLV && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var89 == Autre513 && var90 == ANTID && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var89 == Autre513 && var90 == ANTIDI && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var89 == EVA && var90 == ANTID && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var89 == EVA && var90 == ANTIDI && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var89 == Autre513 && var90 == ANTID && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var89 == Autre513 && var90 == ANTIDI && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var89 == EVA && var90 == SDPCLV && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var89 == EVA && var90 == ANTID && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var89 == EVA && var90 == ANTIDI && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var89 == EVE && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var89 == Autre513 && var90 == SDPCLV && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var89 == Autre513 && var90 == ANTID && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var89 == Autre513 && var90 == ANTIDI && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var89 == EVA && var90 == SDPCLV && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var89 == EVA && var90 == ANTID && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var89 == EVA && var90 == ANTIDI && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var89 == EVE && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var89 == Autre513 && var90 == SDPCLV && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var89 == Autre513 && var90 == ANTID && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var89 == Autre513 && var90 == ANTIDI && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var89 == EVA && var90 == SDPCLV && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var89 == EVA && var90 == ANTID && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var89 == EVA && var90 == ANTIDI && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var89 == EVE && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var89 == Autre513 && var90 == SDPCLV && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var89 == Autre513 && var90 == ANTID && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var89 == Autre513 && var90 == ANTIDI && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var89 == EVA && var90 == SDPCLV && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var89 == EVA && var90 == ANTID && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var89 == EVA && var90 == ANTIDI && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var89 == EVE && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var89 == Autre513 && var90 == SDPCLV && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var89 == Autre513 && var90 == ANTID && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var89 == Autre513 && var90 == ANTIDI && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var89 == EVA && var90 == SDPCLV && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var89 == EVA && var90 == ANTID && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var89 == EVA && var90 == ANTIDI && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var89 == EVE && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var89 == Autre513 && var90 == SDPCLV && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var89 == Autre513 && var90 == ANTID && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var89 == Autre513 && var90 == ANTIDI && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var89 == EVA && var90 == SDPCLV && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var89 == EVA && var90 == ANTID && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var89 == EVA && var90 == ANTIDI && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var89 == EVE && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var89 == Autre513 && var90 == SDPCLV && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var89 == Autre513 && var90 == ANTID && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var89 == Autre513 && var90 == ANTIDI && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var89 == EVA && var90 == SDPCLV && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var89 == EVA && var90 == ANTID && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var89 == EVA && var90 == ANTIDI && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var89 == EVE && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var89 == Autre513 && var90 == SDPCLV && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var89 == Autre513 && var90 == ANTID && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var89 == Autre513 && var90 == ANTIDI && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var89 == EVA && var90 == ANTID && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var89 == EVA && var90 == ANTIDI && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var89 == Autre513 && var90 == ANTID && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var89 == Autre513 && var90 == ANTIDI && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var89 == EVA && var90 == ANTID && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var89 == EVA && var90 == ANTIDI && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var89 == Autre513 && var90 == ANTID && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var89 == Autre513 && var90 == ANTIDI && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var89 == EVA && var90 == SDPCLV && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var89 == EVA && var90 == ANTID && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var89 == EVA && var90 == ANTIDI && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var89 == EVE && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var89 == Autre513 && var90 == SDPCLV && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var89 == Autre513 && var90 == ANTID && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var89 == Autre513 && var90 == ANTIDI && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var89 == EVA && var90 == SDPCLV && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var89 == EVA && var90 == ANTID && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var89 == EVA && var90 == ANTIDI && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var89 == EVE && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var89 == Autre513 && var90 == SDPCLV && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var89 == Autre513 && var90 == ANTID && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var89 == Autre513 && var90 == ANTIDI && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var89 == EVA && var90 == SDPCLV && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var89 == EVA && var90 == ANTID && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var89 == EVA && var90 == ANTIDI && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var89 == EVE && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var89 == Autre513 && var90 == SDPCLV && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var89 == Autre513 && var90 == ANTID && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var89 == Autre513 && var90 == ANTIDI && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var89 == EVE && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var89 == EVE && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var89 == EVE && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var89 == EVE && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var89 == EVE && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var89 == EVE && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var89 == EVE && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var89 == EVE && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var89 == EVE && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var89 == EVE && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var89 == EVE && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var89 == EVE && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var89 == EVE && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var89 == EVE && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var89 == EVE && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var89 == EVE && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var89 == EVE && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var89 == EVE && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var89 == EVE && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var89 == EVE && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var89 == EVE && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var89 == EVE && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var89 == EVE && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var89 == EVE && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var89 == EVE && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var89 == EVE && var90 == SDPCLV && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var89 == EVE && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var89 == EVE && var90 == SDPCLV && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var89 == EVE && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var89 == EVE && var90 == SDPCLV && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var89 == EVE && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MA && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MA && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var89 == EVE && var90 == SDPCLV && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MA && var89 == EVE && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MA && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MA && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MA && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MA && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var89 == EVE && var90 == SDPCLV && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var89 == EVE && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MC && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MC && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var89 == EVE && var90 == SDPCLV && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MC && var89 == EVE && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MC && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MC && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MC && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MC && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MD && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MD && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var89 == EVE && var90 == SDPCLV && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MD && var89 == EVE && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MD && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MD && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MD && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MD && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ME && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ME && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var89 == EVE && var90 == SDPCLV && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ME && var89 == EVE && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ME && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ME && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ME && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ME && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MF && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MF && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var89 == EVE && var90 == SDPCLV && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var89 == EVE && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MF && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MF && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var89 == EVE && var90 == SDPCLV && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var89 == EVE && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MK && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MK && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MK && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MK && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MK && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MK && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ML && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ML && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var89 == EVE && var90 == SDPCLV && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ML && var89 == EVE && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ML && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ML && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ML && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ML && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MM && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MM && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var89 == EVE && var90 == SDPCLV && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MM && var89 == EVE && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MM && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MM && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MM && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MM && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MS && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MS && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var89 == EVE && var90 == SDPCLV && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MS && var89 == EVE && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MS && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MS && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MS && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MS && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MT && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MT && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var89 == EVE && var90 == SDPCLV && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MT && var89 == EVE && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MT && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MT && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MT && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MT && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MU && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MU && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var89 == EVE && var90 == SDPCLV && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MU && var89 == EVE && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MU && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MU && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MU && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MU && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MN && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MN && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var89 == EVE && var90 == SDPCLV && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MN && var89 == EVE && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MN && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MN && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MN && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MN && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MH && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MH && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MH && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MH && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MH && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MH && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MY && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MY && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MY && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MY && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MY && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MY && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var89 == EVE && var90 == SDPCLV && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var89 == EVE && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var89 == EVE && var90 == SDPCLV && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var89 == EVE && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var89 == EVE && var90 == SDPCLV && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var89 == EVE && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var89 == EVE && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var89 == EVE && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var89 == EVA && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var89 == EVA && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var89 == EVA && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var89 == Autre513 && var90 == ANTIDI && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var89 == Autre513 && var90 == SDPCLV && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var89 == Autre513 && var90 == ANTID && var91 == Autre613 && var98 == Autre913 ) ) ); ( ( ( var1 == S64 && var2 == E1 && var67 == T1 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var67 == T1 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var67 == T2 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var67 == T2 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var67 == T1 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var67 == T1 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var67 == T1 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var67 == T2 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var67 == T2 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var67 == T2 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var67 == T2 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var67 == T2 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var67 == T2 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var67 == T2 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var67 == T2 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var67 == T3 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var67 == T3 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var67 == T2 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var67 == T3 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var67 == T2 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var67 == T2 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var67 == T3 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var67 == T3 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var67 == T3 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var67 == T2 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var67 == T2 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var67 == T2 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var67 == T2 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var67 == T2 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var67 == T3 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var67 == T3 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var67 == T1 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var67 == T1 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var67 == T1 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var67 == T2 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var67 == T2 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var67 == T2 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var67 == T2 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var67 == T2 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var67 == T2 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var67 == T3 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var67 == T3 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var67 == T5 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var67 == T2 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var67 == T2 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var67 == T0 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var67 == T1 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var67 == T2 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) ) ); ( ( ( var1 == S64 && var2 == E1 && var64 == PANP01 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var64 == PANP01 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var64 == PANP02 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var64 == PANP02 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var64 == PANP01 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var64 == PANP01 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var64 == PANP01 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var64 == PANP02 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var64 == PANP02 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var64 == PANP02 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var64 == PANP02 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var64 == PANP02 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var64 == PANP02 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var64 == PANP02 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var64 == PANP02 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var64 == PANP03 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var64 == PANP03 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var64 == PANP02 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var64 == PANP03 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var64 == PANP02 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var64 == PANP02 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var64 == PANP03 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var64 == PANP03 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var64 == PANP03 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var64 == PANP02 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var64 == PANP02 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var64 == PANP02 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var64 == PANP02 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var64 == PANP02 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var64 == PANP03 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var64 == PANP03 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var64 == PANP01 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var64 == PANP01 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var64 == PANP01 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var64 == PANP02 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var64 == PANP02 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var64 == PANP02 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var64 == PANP02 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var64 == PANP02 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var64 == PANP02 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var64 == PANP03 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var64 == PANP03 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var64 == PANP05 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var64 == PANP02 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var64 == PANP02 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var64 == PANP00 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var64 == PANP01 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var64 == PANP02 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) ) ); ( ( ( var1 == S64 && var2 == E1 && var25 == SANCUS && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var25 == SANCUS && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var25 == SANCUS && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var25 == SANCUS && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var25 == SANCUS && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var25 == SANCUS && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var25 == SANCUS && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var25 == SANCUS && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var25 == SANCUS && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var25 == SANCUS && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var25 == SANCUS && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var25 == SANCUS && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var25 == SANCUS && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var25 == SANCUS && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var25 == SANCUS && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var25 == SANCUS && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var25 == SANCUS && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var25 == SANCUS && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var25 == SANCUS && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var25 == CUSFIX && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var25 == CUSFIX && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var25 == CUSPIV && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var25 == CUSPIV && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var25 == CUSPIV && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var25 == CUSPIV && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var25 == CUSPIV && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var25 == CUSFIX && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var25 == CUSFIX && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var25 == CUSFIX && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var25 == CUSFIX && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var25 == CUSFIX && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var25 == CUSFIX && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var25 == CUSFIX && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var25 == SANCUS && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var25 == SANCUS && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var25 == SANCUS && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var25 == SANCUS && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var25 == SANCUS && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var25 == SANCUS && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var25 == SANCUS && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var25 == SANCUS && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var25 == SANCUS && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var25 == SANCUS && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var25 == SANCUS && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var25 == SANCUS && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var25 == CUSFIX && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var25 == CUSFIX && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var25 == CUSFIX && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var25 == CUSFIX && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var25 == CUSFIX && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) ) ); ( ( ( var1 == S64 && var2 == E1 && var41 == PNESTD && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var41 == PNESTD && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var41 == PNERFL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var41 == PNERFL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var41 == PNESTD && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var41 == PNESTD && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var41 == PNERFL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var41 == PNERFL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var41 == PNESTD && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var41 == PNESTD && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var41 == PNESTD && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var41 == PNERFL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var41 == PNERFL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var41 == PNERFL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var41 == PNESTD && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var41 == PNESTD && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var41 == PNESTD && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var41 == PNESTD && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var41 == PNESTD && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var41 == PNESTD && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var41 == PNESTD && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var41 == PNESTD && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var41 == PNERFL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var41 == PNERFL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var41 == PNERFL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var41 == PNERFL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var41 == PNERFL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var41 == PNERFL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var41 == PNERFL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var41 == PNERFL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var41 == PNESTD && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var41 == PNESTD && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var41 == PNERFL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var41 == PNERFL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var41 == PNESTD && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var41 == PNERFL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var41 == PNESTD && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var41 == PNERFL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var41 == PNESTD && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var41 == PNESTD && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var41 == PNERFL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var41 == PNERFL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var41 == PNESTD && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var41 == PNESTD && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var41 == PNESTD && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var41 == PNERFL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var41 == PNERFL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var41 == PNERFL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var41 == PNESTD && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var41 == PNESTD && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var41 == PNESTD && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var41 == PNESTD && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var41 == PNESTD && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var41 == PNERFL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var41 == PNERFL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var41 == PNERFL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var41 == PNERFL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var41 == PNERFL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var41 == PNESTD && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var41 == PNESTD && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var41 == PNERFL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var41 == PNERFL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var41 == PNESTD && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var41 == PNESTD && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var41 == PNESTD && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var41 == PNERFL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var41 == PNERFL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var41 == PNERFL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var41 == PNESTD && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var41 == PNESTD && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var41 == PNESTD && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var41 == PNESTD && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var41 == PNESTD && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var41 == PNESTD && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var41 == PNERFL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var41 == PNERFL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var41 == PNERFL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var41 == PNERFL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var41 == PNERFL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var41 == PNERFL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var41 == PNESTD && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var41 == PNESTD && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var41 == PNERFL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var41 == PNERFL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var41 == PNESTD && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var41 == PNERFL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var41 == PNESTD && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var41 == PNESTD && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var41 == PNERFL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var41 == PNERFL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var41 == PNESTD && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var41 == PNESTD && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var41 == PNESTD && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) ) ); ( ( ( var1 == S64 && var2 == E1 && var6 == DG && var63 == VOLNRH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var6 == DG && var63 == VOLNRH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var6 == DD && var63 == VOLNRH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var6 == DD && var63 == VOLRH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var6 == DG && var63 == VOLRH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var6 == DG && var63 == VOLRH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var6 == DD && var63 == VOLRH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var6 == DD && var63 == VOLRH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var6 == DG && var63 == VOLNRH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var6 == DG && var63 == VOLNRH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var6 == DG && var63 == VOLNRH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var6 == DD && var63 == VOLNRH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var6 == DD && var63 == VOLNRH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var6 == DD && var63 == VOLRH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var6 == DG && var63 == VOLRH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var6 == DG && var63 == VOLRH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var6 == DG && var63 == VOLRH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var6 == DG && var63 == VOLRH && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var6 == DG && var63 == VOLRH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var6 == DG && var63 == VOLRH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var6 == DG && var63 == VOLRH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var6 == DG && var63 == VOLRH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var6 == DD && var63 == VOLRH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var6 == DD && var63 == VOLRH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var6 == DD && var63 == VOLRH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var6 == DD && var63 == VOLRH && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var6 == DD && var63 == VOLRH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var6 == DD && var63 == VOLRH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var6 == DD && var63 == VOLRH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var6 == DD && var63 == VOLRH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var6 == DG && var63 == VOLRH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var6 == DG && var63 == VOLRH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var6 == DD && var63 == VOLRH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var6 == DD && var63 == VOLRH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var6 == DG && var63 == VOLRH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var6 == DD && var63 == VOLRH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var6 == DG && var63 == VOLRH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var6 == DD && var63 == VOLRH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var6 == DG && var63 == VOLNRH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var6 == DG && var63 == VOLNRH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var6 == DG && var63 == VOLRH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var6 == DG && var63 == VOLRH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var6 == DD && var63 == VOLNRH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var6 == DD && var63 == VOLRH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var6 == DD && var63 == VOLRH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var6 == DG && var63 == VOLRH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var6 == DG && var63 == VOLRH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var6 == DG && var63 == VOLRH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var6 == DD && var63 == VOLRH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var6 == DD && var63 == VOLRH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var6 == DD && var63 == VOLRH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var6 == DG && var63 == VOLNRH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var6 == DG && var63 == VOLNRH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var6 == DG && var63 == VOLNRH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var6 == DG && var63 == VOLNRH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var6 == DG && var63 == VOLNRH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var6 == DG && var63 == VOLRH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var6 == DG && var63 == VOLRH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var6 == DG && var63 == VOLRH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var6 == DG && var63 == VOLRH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var6 == DG && var63 == VOLRH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var6 == DD && var63 == VOLNRH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var6 == DD && var63 == VOLNRH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var6 == DD && var63 == VOLNRH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var6 == DD && var63 == VOLNRH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var6 == DD && var63 == VOLRH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var6 == DD && var63 == VOLRH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var6 == DD && var63 == VOLRH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var6 == DD && var63 == VOLRH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var6 == DD && var63 == VOLRH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var6 == DG && var63 == VOLRH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var6 == DG && var63 == VOLRH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var6 == DD && var63 == VOLRH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var6 == DD && var63 == VOLRH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var6 == DG && var63 == VOLNRH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var6 == DG && var63 == VOLNRH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var6 == DG && var63 == VOLNRH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var6 == DD && var63 == VOLNRH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var6 == DD && var63 == VOLNRH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var6 == DD && var63 == VOLRH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var6 == DG && var63 == VOLRH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var6 == DG && var63 == VOLRH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var6 == DG && var63 == VOLRH && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var6 == DG && var63 == VOLRH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var6 == DG && var63 == VOLRH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var6 == DG && var63 == VOLRH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var6 == DD && var63 == VOLRH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var6 == DD && var63 == VOLRH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var6 == DD && var63 == VOLRH && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var6 == DD && var63 == VOLRH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var6 == DD && var63 == VOLRH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var6 == DD && var63 == VOLRH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var6 == DG && var63 == VOLRH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var6 == DG && var63 == VOLRH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var6 == DD && var63 == VOLRH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var6 == DD && var63 == VOLRH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var6 == DG && var63 == VOLRH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var6 == DD && var63 == VOLRH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var6 == DG && var63 == VOLNRH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var6 == DG && var63 == VOLNRH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var6 == DG && var63 == VOLNRH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var6 == DD && var63 == VOLNRH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var6 == DG && var63 == VOLNRH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var6 == DD && var63 == VOLNRH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var6 == DG && var63 == VOLNRH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var6 == DG && var63 == VOLRH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) ) ); ( ( ( var1 == S64 && var2 == E1 && var65 == PLAFNT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var65 == PLAFNT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var65 == PLAFNT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var65 == PLAFNT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var65 == PLAFNT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var65 == PLAFNT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var65 == PLAFNT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var65 == PLAFNT && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var65 == PLAFNT && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var65 == PLAFNT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var65 == PLAFNT && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var65 == PLAFNT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var65 == PLAFNT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var65 == PLAFNT && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var65 == PLAFNT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var65 == PLAFT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var65 == PLAFT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var65 == PLAFT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var65 == PLAFT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var65 == PLAFNT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var65 == PLAFNT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var65 == PLAFT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var65 == PLAFT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var65 == PLAFT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var65 == PLAFT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var65 == PLAFT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var65 == PLAFNT && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var65 == PLAFNT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var65 == PLAFNT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var65 == PLAFNT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var65 == PLAFNT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var65 == PLAFT && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var65 == PLAFT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var65 == PLAFT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var65 == PLAFT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var65 == PLAFT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var65 == PLAFT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var65 == PLAFT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var65 == PLAFNT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var65 == PLAFNT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var65 == PLAFNT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var65 == PLAFNT && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var65 == PLAFNT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var65 == PLAFNT && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var65 == PLAFNT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var65 == PLAFNT && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var65 == PLAFNT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var65 == PLAFT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var65 == PLAFT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var65 == PLAFT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var65 == PLAFNT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var65 == PLAFNT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var65 == PLAFT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var65 == PLAFT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var65 == PLAFNT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var65 == PLAFNT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var65 == PLAFNT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) ) ); ( ( ( var1 == S64 && var2 == E1 && var61 == EVCFIX && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var61 == EVCFIX && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var61 == EVCFIX && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var61 == EVCFIX && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var61 == EVCFIX && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var61 == EVCFIX && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var61 == EVCFIX && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var61 == EVCFIX && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var61 == EVCFIX && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var61 == EVCFIX && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var61 == EVCFIX && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var61 == EVCFIX && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var61 == EVCFIX && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var61 == EVCFIX && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var61 == EVCFIX && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var61 == EVCVAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var61 == EVCVAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var61 == EVCVAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var61 == EVCVAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var61 == EVCFIX && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var61 == EVCFIX && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var61 == EVCVAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var61 == EVCVAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var61 == EVCVAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var61 == EVCFIX && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var61 == EVCFIX && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var61 == EVCFIX && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var61 == EVCFIX && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var61 == EVCFIX && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var61 == EVCVAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var61 == EVCVAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var61 == EVCFIX && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var61 == EVCFIX && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var61 == EVCFIX && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var61 == EVCFIX && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var61 == EVCFIX && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var61 == EVCFIX && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var61 == EVCFIX && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var61 == EVCFIX && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var61 == EVCFIX && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var61 == EVCVAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var61 == EVCVAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var61 == EVCVAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var61 == EVCFIX && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var61 == EVCFIX && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var61 == EVCFIX && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var61 == EVCFIX && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var61 == EVCFIX && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) ) ); ( ( ( var1 == S64 && var2 == E1 && var62 == SAOEF && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var62 == SAOEF && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var62 == AOEF && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var62 == AOEF && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var62 == SAOEF && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var62 == SAOEF && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var62 == SAOEF && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var62 == AOEF && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var62 == AOEF && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var62 == AOEF && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var62 == AOEF && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var62 == AOEF && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var62 == AOEF && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var62 == AOEF && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var62 == AOEF && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var62 == AOEF && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var62 == AOEF && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var62 == AOEF && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var62 == AOEF && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var62 == AOEF && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var62 == AOEF && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var62 == AOEF && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var62 == AOEF && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var62 == AOEF && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var62 == AOEF && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var62 == AOEF && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var62 == AOEF && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var62 == AOEF && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var62 == AOEF && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var62 == AOEF && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var62 == AOEF && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var62 == SAOEF && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var62 == SAOEF && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var62 == SAOEF && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var62 == AOEF && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var62 == AOEF && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var62 == AOEF && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var62 == AOEF && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var62 == AOEF && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var62 == AOEF && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var62 == AOEF && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var62 == AOEF && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var62 == AOEF && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var62 == AOEF && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var62 == AOEF && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var62 == SAOEF && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var62 == SAOEF && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var62 == AOEF && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) ) ); ( ( ( var1 == S64 && var2 == E1 && var26 == SANCL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var26 == SANCL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var26 == CLB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var26 == CLB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var26 == CLCGRI && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var26 == CLCGRI && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var26 == SANCL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var26 == SANCL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var26 == CLB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var26 == CLB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var26 == CLCGRI && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var26 == CLCGRI && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var26 == SANCL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var26 == SANCL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var26 == SANCL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var26 == SANCL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var26 == SANCL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var26 == SANCL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var26 == SANCL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var26 == SANCL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var26 == SANCL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var26 == SANCL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var26 == SANCL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var26 == SANCL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var26 == SANCL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var26 == SANCL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var26 == SANCL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var26 == SANCL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var26 == SANCL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var26 == SANCL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var26 == SANCL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var26 == SANCL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var26 == SANCL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var26 == SANCL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var26 == SANCL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var26 == SANCL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var26 == SANCL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var26 == SANCL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var26 == SANCL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var26 == SANCL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var26 == SANCL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var26 == SANCL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var26 == SANCL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var26 == SANCL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var26 == SANCL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var26 == SANCL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var26 == SANCL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var26 == SANCL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var26 == SANCL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var26 == SANCL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var26 == SANCL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var26 == SANCL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var26 == SANCL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var26 == CLB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var26 == CLB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var26 == CLCGRI && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var26 == CLCGRI && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var26 == SANCL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var26 == SANCL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var26 == SANCL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) ) ); ( ( ( var1 == S64 && var2 == E1 && var28 == SCCHBA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var28 == SCCHBA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var28 == CCHBAG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var28 == CCHBAG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var28 == SCCHBA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var28 == SCCHBA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var28 == CCHBAG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var28 == CCHBAG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var28 == SCCHBA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var28 == SCCHBA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var28 == SCCHBA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var28 == SCCHBA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var28 == SCCHBA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var28 == SCCHBA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var28 == SCCHBA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var28 == SCCHBA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var28 == SCCHBA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var28 == SCCHBA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var28 == SCCHBA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var28 == SCCHBA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var28 == SCCHBA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var28 == SCCHBA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var28 == SCCHBA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var28 == SCCHBA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var28 == SCCHBA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var28 == SCCHBA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var28 == SCCHBA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var28 == SCCHBA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var28 == SCCHBA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var28 == SCCHBA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var28 == SCCHBA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var28 == SCCHBA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var28 == SCCHBA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var28 == SCCHBA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var28 == SCCHBA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var28 == SCCHBA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var28 == SCCHBA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var28 == SCCHBA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var28 == SCCHBA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var28 == SCCHBA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var28 == SCCHBA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var28 == SCCHBA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var28 == SCCHBA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var28 == SCCHBA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var28 == SCCHBA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var28 == SCCHBA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var28 == SCCHBA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var28 == SCCHBA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var28 == SCCHBA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var28 == CCHBAG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var28 == CCHBAG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var28 == SCCHBA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var28 == CCHBAG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var28 == CCHBAG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) ) ); ( ( ( var1 == S64 && var2 == E1 && var18 == ELA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var18 == ELA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var18 == ELA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var18 == ELA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var18 == SSELA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var18 == SSELA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var18 == SSELA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var18 == SSELA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var18 == SSELA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var18 == SSELA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var18 == ELA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var18 == ELA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var18 == ELA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var18 == ELA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var18 == ELA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var18 == SSELA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var18 == SSELA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var18 == SSELA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var18 == SSELA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var18 == ELA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var18 == ELA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var18 == ELA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var18 == ELA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var18 == ELA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var18 == ELA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var18 == ELA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var18 == ELA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var18 == ELA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var18 == ELA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var18 == ELA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var18 == ELA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var18 == ELA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var18 == ELA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var18 == ELA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var18 == ELA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var18 == ELA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var18 == ELA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var18 == ELA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var18 == ELA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var18 == ELA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var18 == ELA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var18 == ELA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var18 == ELA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var18 == ELA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var18 == ELA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var18 == ELA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var18 == ELA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var18 == ELA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) ) ); ( ( ( var1 == S64 && var2 == E1 && var5 == FRAN && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == FRAN && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DOTO && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DOTO && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ALLE && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ALLE && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == AUTR && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == AUTR && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == BELG && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == BELG && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DANE && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DANE && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ESPA && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ESPA && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == FINL && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == FINL && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == GRBR && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == GRBR && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == GREC && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == GREC && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == HOLL && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == HOLL && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == IRLA && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == IRLA && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ISLA && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ISLA && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ITAL && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ITAL && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == NORV && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == NORV && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == PORT && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == PORT && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == SUED && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == SUED && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == SUIS && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == SUIS && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == YOUG && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == YOUG && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == MAGH && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == MAGH && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == TURQ && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == TURQ && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ARGE && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ARGE && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == BRES && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == BRES && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == CHIL && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == CHIL && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == COLO && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == COLO && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == AFSU && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == AFSU && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == MARO && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == MARO && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ISRA && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ISRA && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == HONG && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == HONG && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == TCHE && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == TCHE && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == POLO && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == POLO && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == SLVQ && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == SLVQ && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == JAPO && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == JAPO && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == TAIW && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == TAIW && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == AUST && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == AUST && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == URUG && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == URUG && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DAIB && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DAIB && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DAIC && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DAIC && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DAID && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DAID && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DAIF && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DAIF && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == EUOR && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == EUOR && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == CETI && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == CETI && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == FRAN && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == FRAN && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DOTO && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DOTO && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ALLE && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ALLE && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == AUTR && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == AUTR && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == BELG && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == BELG && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DANE && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DANE && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ESPA && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ESPA && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == FINL && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == FINL && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == GRBR && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == GRBR && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == GREC && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == GREC && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == HOLL && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == HOLL && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == IRLA && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == IRLA && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ISLA && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ISLA && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ITAL && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ITAL && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == NORV && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == NORV && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == PORT && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == PORT && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == SUED && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == SUED && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == SUIS && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == SUIS && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == YOUG && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == YOUG && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == MAGH && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == MAGH && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == TURQ && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == TURQ && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ARGE && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ARGE && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == BRES && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == BRES && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == CHIL && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == CHIL && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == COLO && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == COLO && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == AFSU && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == AFSU && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == MARO && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == MARO && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ISRA && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ISRA && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == HONG && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == HONG && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == TCHE && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == TCHE && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == POLO && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == POLO && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == SLVQ && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == SLVQ && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == JAPO && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == JAPO && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == TAIW && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == TAIW && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == AUST && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == AUST && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == URUG && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == URUG && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DAIB && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DAIB && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DAIC && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DAIC && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DAID && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DAID && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DAIF && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DAIF && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == EUOR && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == EUOR && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == CETI && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == CETI && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == FRAN && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == FRAN && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == FRAN && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DOTO && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DOTO && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DOTO && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ALLE && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ALLE && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ALLE && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == AUTR && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == AUTR && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == AUTR && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == BELG && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == BELG && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == BELG && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DANE && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DANE && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DANE && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ESPA && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ESPA && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ESPA && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == FINL && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == FINL && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == FINL && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == GRBR && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == GRBR && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == GRBR && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == GREC && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == GREC && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == GREC && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == HOLL && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == HOLL && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == HOLL && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == IRLA && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == IRLA && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == IRLA && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ISLA && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ISLA && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ISLA && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ITAL && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ITAL && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ITAL && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == NORV && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == NORV && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == NORV && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == PORT && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == PORT && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == PORT && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == SUED && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == SUED && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == SUED && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == SUIS && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == SUIS && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == SUIS && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == YOUG && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == YOUG && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == YOUG && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == MAGH && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == MAGH && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == MAGH && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == TURQ && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == TURQ && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == TURQ && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ARGE && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ARGE && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ARGE && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == BRES && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == BRES && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == BRES && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == CHIL && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == CHIL && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == CHIL && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == COLO && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == COLO && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == COLO && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == AFSU && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == AFSU && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == AFSU && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == MARO && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == MARO && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == MARO && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ISRA && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ISRA && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ISRA && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == HONG && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == HONG && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == HONG && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == TCHE && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == TCHE && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == TCHE && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == POLO && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == POLO && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == POLO && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == SLVQ && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == SLVQ && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == SLVQ && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == JAPO && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == JAPO && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == JAPO && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == TAIW && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == TAIW && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == TAIW && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == AUST && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == AUST && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == AUST && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == URUG && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == URUG && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == URUG && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAIB && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAIB && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAIB && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAIC && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAIC && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAIC && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAID && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAID && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAID && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAIF && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAIF && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAIF && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == EUOR && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == EUOR && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == EUOR && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == CETI && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == CETI && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == CETI && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == FRAN && var54 == SNAVIG && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == FRAN && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == FRAN && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == FRAN && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == FRAN && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == FRAN && var54 == SNAVIG && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == FRAN && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == FRAN && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DOTO && var54 == SNAVIG && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DOTO && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DOTO && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DOTO && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == DOTO && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DOTO && var54 == SNAVIG && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DOTO && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DOTO && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ALLE && var54 == SNAVIG && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ALLE && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ALLE && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ALLE && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == ALLE && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ALLE && var54 == SNAVIG && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ALLE && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ALLE && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AUTR && var54 == SNAVIG && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AUTR && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == AUTR && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AUTR && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == AUTR && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AUTR && var54 == SNAVIG && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AUTR && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == AUTR && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == BELG && var54 == SNAVIG && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == BELG && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == BELG && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == BELG && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == BELG && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == BELG && var54 == SNAVIG && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == BELG && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == BELG && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DANE && var54 == SNAVIG && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DANE && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DANE && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DANE && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == DANE && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DANE && var54 == SNAVIG && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DANE && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DANE && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ESPA && var54 == SNAVIG && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ESPA && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ESPA && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ESPA && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == ESPA && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ESPA && var54 == SNAVIG && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ESPA && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ESPA && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == FINL && var54 == SNAVIG && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == FINL && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == FINL && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == FINL && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == FINL && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == FINL && var54 == SNAVIG && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == FINL && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == FINL && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GRBR && var54 == SNAVIG && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GRBR && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == GRBR && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GRBR && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == GRBR && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GRBR && var54 == SNAVIG && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GRBR && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == GRBR && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GREC && var54 == SNAVIG && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GREC && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == GREC && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GREC && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == GREC && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GREC && var54 == SNAVIG && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GREC && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == GREC && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HOLL && var54 == SNAVIG && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HOLL && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == HOLL && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HOLL && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == HOLL && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HOLL && var54 == SNAVIG && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HOLL && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == HOLL && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == IRLA && var54 == SNAVIG && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == IRLA && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == IRLA && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == IRLA && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == IRLA && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == IRLA && var54 == SNAVIG && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == IRLA && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == IRLA && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ISLA && var54 == SNAVIG && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ISLA && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ISLA && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ISLA && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == ISLA && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ISLA && var54 == SNAVIG && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ISLA && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ISLA && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ITAL && var54 == SNAVIG && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ITAL && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ITAL && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ITAL && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == ITAL && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ITAL && var54 == SNAVIG && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ITAL && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ITAL && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == NORV && var54 == SNAVIG && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == NORV && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == NORV && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == NORV && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == NORV && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == NORV && var54 == SNAVIG && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == NORV && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == NORV && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == PORT && var54 == SNAVIG && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == PORT && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == PORT && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == PORT && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == PORT && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == PORT && var54 == SNAVIG && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == PORT && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == PORT && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SUED && var54 == SNAVIG && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SUED && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == SUED && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SUED && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == SUED && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SUED && var54 == SNAVIG && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SUED && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == SUED && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SUIS && var54 == SNAVIG && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SUIS && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == SUIS && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SUIS && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == SUIS && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SUIS && var54 == SNAVIG && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SUIS && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == SUIS && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == YOUG && var54 == SNAVIG && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == YOUG && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == YOUG && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == YOUG && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == YOUG && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == YOUG && var54 == SNAVIG && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == YOUG && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == YOUG && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == MAGH && var54 == SNAVIG && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == MAGH && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == MAGH && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == MAGH && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == MAGH && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == MAGH && var54 == SNAVIG && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == MAGH && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == MAGH && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TURQ && var54 == SNAVIG && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TURQ && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == TURQ && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TURQ && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == TURQ && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TURQ && var54 == SNAVIG && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TURQ && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == TURQ && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ARGE && var54 == SNAVIG && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ARGE && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ARGE && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ARGE && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == ARGE && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ARGE && var54 == SNAVIG && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ARGE && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ARGE && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == BRES && var54 == SNAVIG && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == BRES && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == BRES && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == BRES && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == BRES && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == BRES && var54 == SNAVIG && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == BRES && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == BRES && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == CHIL && var54 == SNAVIG && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == CHIL && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == CHIL && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == CHIL && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == CHIL && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == CHIL && var54 == SNAVIG && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == CHIL && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == CHIL && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == COLO && var54 == SNAVIG && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == COLO && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == COLO && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == COLO && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == COLO && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == COLO && var54 == SNAVIG && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == COLO && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == COLO && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AFSU && var54 == SNAVIG && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AFSU && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == AFSU && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AFSU && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == AFSU && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AFSU && var54 == SNAVIG && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AFSU && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == AFSU && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == MARO && var54 == SNAVIG && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == MARO && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == MARO && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == MARO && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == MARO && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == MARO && var54 == SNAVIG && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == MARO && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == MARO && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ISRA && var54 == SNAVIG && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ISRA && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ISRA && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ISRA && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == ISRA && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ISRA && var54 == SNAVIG && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ISRA && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ISRA && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HONG && var54 == SNAVIG && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HONG && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == HONG && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HONG && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == HONG && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HONG && var54 == SNAVIG && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HONG && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == HONG && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TCHE && var54 == SNAVIG && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TCHE && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == TCHE && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TCHE && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == TCHE && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TCHE && var54 == SNAVIG && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TCHE && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == TCHE && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == POLO && var54 == SNAVIG && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == POLO && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == POLO && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == POLO && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == POLO && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == POLO && var54 == SNAVIG && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == POLO && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == POLO && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SLVQ && var54 == SNAVIG && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SLVQ && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == SLVQ && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SLVQ && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == SLVQ && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SLVQ && var54 == SNAVIG && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SLVQ && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == SLVQ && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == JAPO && var54 == SNAVIG && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == JAPO && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == JAPO && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == JAPO && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == JAPO && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == JAPO && var54 == SNAVIG && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == JAPO && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == JAPO && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TAIW && var54 == SNAVIG && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TAIW && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == TAIW && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TAIW && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == TAIW && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TAIW && var54 == SNAVIG && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TAIW && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == TAIW && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AUST && var54 == SNAVIG && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AUST && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == AUST && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AUST && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == AUST && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AUST && var54 == SNAVIG && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AUST && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == AUST && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == URUG && var54 == SNAVIG && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == URUG && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == URUG && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == URUG && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == URUG && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == URUG && var54 == SNAVIG && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == URUG && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == URUG && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIB && var54 == SNAVIG && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIB && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DAIB && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIB && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == DAIB && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIB && var54 == SNAVIG && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIB && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DAIB && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIC && var54 == SNAVIG && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIC && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DAIC && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIC && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == DAIC && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIC && var54 == SNAVIG && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIC && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DAIC && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAID && var54 == SNAVIG && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAID && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DAID && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAID && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == DAID && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAID && var54 == SNAVIG && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAID && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DAID && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIF && var54 == SNAVIG && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIF && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DAIF && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIF && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == DAIF && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIF && var54 == SNAVIG && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIF && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DAIF && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == EUOR && var54 == SNAVIG && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == EUOR && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == EUOR && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == EUOR && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == EUOR && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == EUOR && var54 == SNAVIG && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == EUOR && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == EUOR && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == CETI && var54 == SNAVIG && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == CETI && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == CETI && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == CETI && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == CETI && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == CETI && var54 == SNAVIG && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == CETI && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == CETI && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == FRAN && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == FRAN && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DOTO && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DOTO && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ALLE && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ALLE && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == AUTR && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == AUTR && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == BELG && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == BELG && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DANE && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DANE && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ESPA && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ESPA && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == FINL && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == FINL && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == GRBR && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == GRBR && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == GREC && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == GREC && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == HOLL && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == HOLL && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == IRLA && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == IRLA && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ISLA && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ISLA && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ITAL && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ITAL && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == NORV && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == NORV && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == PORT && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == PORT && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == SUED && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == SUED && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == SUIS && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == SUIS && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == YOUG && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == YOUG && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == MAGH && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == MAGH && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == TURQ && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == TURQ && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ARGE && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ARGE && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == BRES && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == BRES && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == CHIL && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == CHIL && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == COLO && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == COLO && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == AFSU && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == AFSU && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == MARO && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == MARO && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ISRA && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ISRA && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == HONG && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == HONG && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == TCHE && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == TCHE && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == POLO && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == POLO && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == SLVQ && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == SLVQ && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == JAPO && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == JAPO && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == TAIW && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == TAIW && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == AUST && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == AUST && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == URUG && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == URUG && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DAIB && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DAIB && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DAIC && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DAIC && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DAID && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DAID && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DAIF && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DAIF && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == EUOR && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == EUOR && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == CETI && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == CETI && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == FRAN && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == DOTO && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == ALLE && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == AUTR && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == BELG && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == DANE && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == ESPA && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == FINL && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == GRBR && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == GREC && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == HOLL && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == IRLA && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == ISLA && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == ITAL && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == NORV && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == PORT && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == SUED && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == SUIS && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == YOUG && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == MAGH && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == TURQ && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == ARGE && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == BRES && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == CHIL && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == COLO && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == AFSU && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == MARO && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == ISRA && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == HONG && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == TCHE && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == POLO && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == SLVQ && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == JAPO && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == TAIW && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == AUST && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == URUG && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == DAIB && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == DAIC && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == DAID && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == DAIF && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == EUOR && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == CETI && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == FRAN && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == DOTO && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == ALLE && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == AUTR && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == BELG && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == DANE && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == ESPA && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == FINL && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == GRBR && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == GREC && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == HOLL && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == IRLA && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == ISLA && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == ITAL && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == NORV && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == PORT && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == SUED && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == SUIS && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == YOUG && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == MAGH && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == TURQ && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == ARGE && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == BRES && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == CHIL && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == COLO && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == AFSU && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == MARO && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == ISRA && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == HONG && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == TCHE && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == POLO && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == SLVQ && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == JAPO && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == TAIW && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == AUST && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == URUG && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == DAIB && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == DAIC && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == DAID && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == DAIF && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == EUOR && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == CETI && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == FRAN && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == FRAN && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DOTO && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DOTO && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ALLE && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ALLE && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == AUTR && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == AUTR && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == BELG && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == BELG && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DANE && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DANE && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ESPA && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ESPA && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == FINL && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == FINL && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == GRBR && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == GRBR && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == GREC && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == GREC && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == HOLL && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == HOLL && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == IRLA && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == IRLA && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ISLA && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ISLA && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ITAL && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ITAL && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == NORV && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == NORV && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == PORT && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == PORT && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == SUED && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == SUED && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == SUIS && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == SUIS && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == YOUG && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == YOUG && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == MAGH && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == MAGH && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == TURQ && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == TURQ && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ARGE && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ARGE && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == BRES && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == BRES && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == CHIL && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == CHIL && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == COLO && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == COLO && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == AFSU && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == AFSU && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == MARO && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == MARO && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ISRA && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ISRA && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == HONG && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == HONG && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == TCHE && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == TCHE && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == POLO && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == POLO && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == SLVQ && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == SLVQ && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == JAPO && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == JAPO && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == TAIW && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == TAIW && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == AUST && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == AUST && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == URUG && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == URUG && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DAIB && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DAIB && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DAIC && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DAIC && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DAID && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DAID && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DAIF && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DAIF && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == EUOR && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == EUOR && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == CETI && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == CETI && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == FRAN && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == FRAN && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == FRAN && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DOTO && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DOTO && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DOTO && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ALLE && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ALLE && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ALLE && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == AUTR && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == AUTR && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == AUTR && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == BELG && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == BELG && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == BELG && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DANE && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DANE && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DANE && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ESPA && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ESPA && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ESPA && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == FINL && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == FINL && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == FINL && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == GRBR && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == GRBR && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == GRBR && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == GREC && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == GREC && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == GREC && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == HOLL && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == HOLL && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == HOLL && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == IRLA && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == IRLA && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == IRLA && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ISLA && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ISLA && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ISLA && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ITAL && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ITAL && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ITAL && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == NORV && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == NORV && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == NORV && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == PORT && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == PORT && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == PORT && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == SUED && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == SUED && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == SUED && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == SUIS && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == SUIS && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == SUIS && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == YOUG && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == YOUG && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == YOUG && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == MAGH && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == MAGH && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == MAGH && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == TURQ && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == TURQ && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == TURQ && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ARGE && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ARGE && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ARGE && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == BRES && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == BRES && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == BRES && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == CHIL && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == CHIL && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == CHIL && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == COLO && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == COLO && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == COLO && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == AFSU && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == AFSU && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == AFSU && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == MARO && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == MARO && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == MARO && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ISRA && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ISRA && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ISRA && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == HONG && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == HONG && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == HONG && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == TCHE && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == TCHE && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == TCHE && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == POLO && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == POLO && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == POLO && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == SLVQ && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == SLVQ && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == SLVQ && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == JAPO && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == JAPO && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == JAPO && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == TAIW && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == TAIW && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == TAIW && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == AUST && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == AUST && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == AUST && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == URUG && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == URUG && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == URUG && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DAIB && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DAIB && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DAIB && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DAIC && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DAIC && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DAIC && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DAID && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DAID && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DAID && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DAIF && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DAIF && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DAIF && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == EUOR && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == EUOR && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == EUOR && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == CETI && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == CETI && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == CETI && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FRAN && var54 == SNAVIG && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FRAN && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FRAN && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FRAN && var54 == SNAVIG && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FRAN && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FRAN && var54 == NAVIG && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FRAN && var54 == NAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FRAN && var54 == NAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FRAN && var54 == NAVIG && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FRAN && var54 == NAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DOTO && var54 == SNAVIG && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DOTO && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DOTO && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DOTO && var54 == SNAVIG && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DOTO && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ALLE && var54 == SNAVIG && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ALLE && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ALLE && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ALLE && var54 == SNAVIG && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ALLE && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AUTR && var54 == SNAVIG && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AUTR && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AUTR && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AUTR && var54 == SNAVIG && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AUTR && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == BELG && var54 == SNAVIG && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == BELG && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == BELG && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == BELG && var54 == SNAVIG && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == BELG && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DANE && var54 == SNAVIG && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DANE && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DANE && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DANE && var54 == SNAVIG && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DANE && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ESPA && var54 == SNAVIG && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ESPA && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ESPA && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ESPA && var54 == SNAVIG && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ESPA && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FINL && var54 == SNAVIG && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FINL && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FINL && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FINL && var54 == SNAVIG && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FINL && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == GRBR && var54 == SNAVIG && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == GRBR && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == GRBR && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == GRBR && var54 == SNAVIG && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == GRBR && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == GREC && var54 == SNAVIG && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == GREC && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == GREC && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == GREC && var54 == SNAVIG && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == GREC && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == HOLL && var54 == SNAVIG && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == HOLL && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == HOLL && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == HOLL && var54 == SNAVIG && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == HOLL && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == IRLA && var54 == SNAVIG && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == IRLA && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == IRLA && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == IRLA && var54 == SNAVIG && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == IRLA && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ISLA && var54 == SNAVIG && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ISLA && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ISLA && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ISLA && var54 == SNAVIG && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ISLA && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ITAL && var54 == SNAVIG && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ITAL && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ITAL && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ITAL && var54 == SNAVIG && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ITAL && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == NORV && var54 == SNAVIG && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == NORV && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == NORV && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == NORV && var54 == SNAVIG && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == NORV && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == PORT && var54 == SNAVIG && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == PORT && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == PORT && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == PORT && var54 == SNAVIG && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == PORT && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SUED && var54 == SNAVIG && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SUED && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SUED && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SUED && var54 == SNAVIG && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SUED && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SUIS && var54 == SNAVIG && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SUIS && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SUIS && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SUIS && var54 == SNAVIG && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SUIS && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == YOUG && var54 == SNAVIG && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == YOUG && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == YOUG && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == YOUG && var54 == SNAVIG && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == YOUG && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == MAGH && var54 == SNAVIG && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == MAGH && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == MAGH && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == MAGH && var54 == SNAVIG && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == MAGH && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TURQ && var54 == SNAVIG && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TURQ && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TURQ && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TURQ && var54 == SNAVIG && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TURQ && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ARGE && var54 == SNAVIG && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ARGE && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ARGE && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ARGE && var54 == SNAVIG && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ARGE && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == BRES && var54 == SNAVIG && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == BRES && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == BRES && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == BRES && var54 == SNAVIG && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == BRES && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == CHIL && var54 == SNAVIG && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == CHIL && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == CHIL && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == CHIL && var54 == SNAVIG && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == CHIL && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == COLO && var54 == SNAVIG && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == COLO && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == COLO && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == COLO && var54 == SNAVIG && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == COLO && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AFSU && var54 == SNAVIG && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AFSU && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AFSU && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AFSU && var54 == SNAVIG && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AFSU && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == MARO && var54 == SNAVIG && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == MARO && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == MARO && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == MARO && var54 == SNAVIG && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == MARO && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ISRA && var54 == SNAVIG && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ISRA && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ISRA && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ISRA && var54 == SNAVIG && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ISRA && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == HONG && var54 == SNAVIG && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == HONG && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == HONG && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == HONG && var54 == SNAVIG && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == HONG && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TCHE && var54 == SNAVIG && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TCHE && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TCHE && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TCHE && var54 == SNAVIG && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TCHE && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == POLO && var54 == SNAVIG && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == POLO && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == POLO && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == POLO && var54 == SNAVIG && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == POLO && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SLVQ && var54 == SNAVIG && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SLVQ && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SLVQ && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SLVQ && var54 == SNAVIG && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SLVQ && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == JAPO && var54 == SNAVIG && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == JAPO && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == JAPO && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == JAPO && var54 == SNAVIG && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == JAPO && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TAIW && var54 == SNAVIG && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TAIW && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TAIW && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TAIW && var54 == SNAVIG && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TAIW && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AUST && var54 == SNAVIG && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AUST && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AUST && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AUST && var54 == SNAVIG && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AUST && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == URUG && var54 == SNAVIG && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == URUG && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == URUG && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == URUG && var54 == SNAVIG && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == URUG && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIB && var54 == SNAVIG && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIB && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIB && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIB && var54 == SNAVIG && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIB && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIC && var54 == SNAVIG && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIC && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIC && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIC && var54 == SNAVIG && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIC && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAID && var54 == SNAVIG && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAID && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAID && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAID && var54 == SNAVIG && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAID && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIF && var54 == SNAVIG && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIF && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIF && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIF && var54 == SNAVIG && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIF && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == EUOR && var54 == SNAVIG && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == EUOR && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == EUOR && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == EUOR && var54 == SNAVIG && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == EUOR && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == CETI && var54 == SNAVIG && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == CETI && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == CETI && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == CETI && var54 == SNAVIG && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == CETI && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == FRAN && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == FRAN && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == FRAN && var54 == NAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == FRAN && var54 == NAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DOTO && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DOTO && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ALLE && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ALLE && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == AUTR && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == AUTR && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == BELG && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == BELG && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DANE && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DANE && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ESPA && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ESPA && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == FINL && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == FINL && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == GRBR && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == GRBR && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == GREC && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == GREC && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == HOLL && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == HOLL && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == IRLA && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == IRLA && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ISLA && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ISLA && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ITAL && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ITAL && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == NORV && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == NORV && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == PORT && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == PORT && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == SUED && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == SUED && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == SUIS && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == SUIS && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == YOUG && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == YOUG && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == MAGH && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == MAGH && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == TURQ && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == TURQ && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ARGE && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ARGE && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == BRES && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == BRES && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == CHIL && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == CHIL && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == COLO && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == COLO && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == AFSU && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == AFSU && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == MARO && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == MARO && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ISRA && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ISRA && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == HONG && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == HONG && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == TCHE && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == TCHE && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == POLO && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == POLO && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == SLVQ && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == SLVQ && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == JAPO && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == JAPO && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == TAIW && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == TAIW && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == AUST && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == AUST && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == URUG && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == URUG && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DAIB && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DAIB && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DAIC && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DAIC && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DAID && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DAID && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DAIF && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DAIF && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == EUOR && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == EUOR && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == CETI && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == CETI && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == FRAN && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == FRAN && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == FRAN && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DOTO && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DOTO && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DOTO && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ALLE && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ALLE && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ALLE && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == AUTR && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == AUTR && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == AUTR && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == BELG && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == BELG && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == BELG && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DANE && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DANE && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DANE && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ESPA && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ESPA && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ESPA && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == FINL && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == FINL && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == FINL && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == GRBR && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == GRBR && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == GRBR && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == GREC && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == GREC && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == GREC && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == HOLL && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == HOLL && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == HOLL && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == IRLA && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == IRLA && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == IRLA && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ISLA && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ISLA && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ISLA && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ITAL && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ITAL && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ITAL && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == NORV && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == NORV && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == NORV && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == PORT && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == PORT && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == PORT && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == SUED && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == SUED && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == SUED && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == SUIS && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == SUIS && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == SUIS && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == YOUG && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == YOUG && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == YOUG && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == MAGH && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == MAGH && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == MAGH && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == TURQ && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == TURQ && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == TURQ && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ARGE && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ARGE && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ARGE && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == BRES && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == BRES && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == BRES && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == CHIL && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == CHIL && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == CHIL && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == COLO && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == COLO && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == COLO && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == AFSU && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == AFSU && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == AFSU && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == MARO && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == MARO && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == MARO && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ISRA && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ISRA && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ISRA && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == HONG && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == HONG && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == HONG && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == TCHE && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == TCHE && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == TCHE && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == POLO && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == POLO && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == POLO && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == SLVQ && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == SLVQ && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == SLVQ && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == JAPO && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == JAPO && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == JAPO && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == TAIW && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == TAIW && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == TAIW && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == AUST && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == AUST && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == AUST && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == URUG && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == URUG && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == URUG && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAIB && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAIB && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAIB && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAIC && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAIC && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAIC && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAID && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAID && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAID && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAIF && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAIF && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAIF && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == EUOR && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == EUOR && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == EUOR && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == CETI && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == CETI && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == CETI && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == FRAN && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == FRAN && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == FRAN && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == FRAN && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == FRAN && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == FRAN && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DOTO && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DOTO && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DOTO && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == DOTO && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DOTO && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DOTO && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ALLE && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ALLE && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ALLE && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == ALLE && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ALLE && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ALLE && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == AUTR && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == AUTR && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == AUTR && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == AUTR && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == AUTR && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == AUTR && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == BELG && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == BELG && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == BELG && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == BELG && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == BELG && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == BELG && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DANE && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DANE && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DANE && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == DANE && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DANE && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DANE && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ESPA && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ESPA && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ESPA && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == ESPA && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ESPA && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ESPA && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == FINL && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == FINL && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == FINL && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == FINL && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == FINL && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == FINL && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == GRBR && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == GRBR && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == GRBR && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == GRBR && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == GRBR && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == GRBR && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == GREC && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == GREC && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == GREC && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == GREC && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == GREC && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == GREC && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == HOLL && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == HOLL && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == HOLL && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == HOLL && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == HOLL && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == HOLL && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == IRLA && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == IRLA && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == IRLA && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == IRLA && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == IRLA && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == IRLA && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ISLA && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ISLA && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ISLA && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == ISLA && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ISLA && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ISLA && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ITAL && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ITAL && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ITAL && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == ITAL && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ITAL && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ITAL && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == NORV && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == NORV && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == NORV && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == NORV && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == NORV && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == NORV && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == PORT && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == PORT && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == PORT && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == PORT && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == PORT && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == PORT && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == SUED && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == SUED && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == SUED && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == SUED && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == SUED && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == SUED && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == SUIS && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == SUIS && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == SUIS && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == SUIS && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == SUIS && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == SUIS && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == YOUG && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == YOUG && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == YOUG && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == YOUG && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == YOUG && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == YOUG && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == MAGH && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == MAGH && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == MAGH && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == MAGH && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == MAGH && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == MAGH && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == TURQ && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == TURQ && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == TURQ && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == TURQ && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == TURQ && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == TURQ && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ARGE && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ARGE && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ARGE && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == ARGE && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ARGE && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ARGE && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == BRES && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == BRES && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == BRES && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == BRES && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == BRES && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == BRES && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == CHIL && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == CHIL && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == CHIL && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == CHIL && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == CHIL && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == CHIL && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == COLO && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == COLO && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == COLO && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == COLO && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == COLO && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == COLO && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == AFSU && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == AFSU && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == AFSU && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == AFSU && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == AFSU && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == AFSU && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == MARO && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == MARO && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == MARO && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == MARO && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == MARO && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == MARO && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ISRA && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ISRA && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ISRA && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == ISRA && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ISRA && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ISRA && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == HONG && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == HONG && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == HONG && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == HONG && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == HONG && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == HONG && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == TCHE && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == TCHE && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == TCHE && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == TCHE && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == TCHE && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == TCHE && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == POLO && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == POLO && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == POLO && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == POLO && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == POLO && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == POLO && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == SLVQ && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == SLVQ && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == SLVQ && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == SLVQ && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == SLVQ && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == SLVQ && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == JAPO && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == JAPO && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == JAPO && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == JAPO && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == JAPO && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == JAPO && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == TAIW && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == TAIW && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == TAIW && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == TAIW && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == TAIW && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == TAIW && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == AUST && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == AUST && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == AUST && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == AUST && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == AUST && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == AUST && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == URUG && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == URUG && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == URUG && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == URUG && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == URUG && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == URUG && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAIB && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DAIB && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAIB && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == DAIB && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAIB && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DAIB && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAIC && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DAIC && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAIC && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == DAIC && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAIC && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DAIC && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAID && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DAID && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAID && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == DAID && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAID && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DAID && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAIF && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DAIF && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAIF && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == DAIF && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAIF && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DAIF && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == EUOR && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == EUOR && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == EUOR && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == EUOR && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == EUOR && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == EUOR && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == CETI && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == CETI && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == CETI && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == CETI && var54 == SNAVIG && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == CETI && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == CETI && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == FRAN && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == FRAN && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == DOTO && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == DOTO && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == ALLE && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == ALLE && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == AUTR && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == AUTR && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == BELG && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == BELG && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == DANE && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == DANE && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == ESPA && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == ESPA && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == FINL && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == FINL && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == GRBR && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == GRBR && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == GREC && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == GREC && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == HOLL && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == HOLL && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == IRLA && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == IRLA && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == ISLA && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == ISLA && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == ITAL && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == ITAL && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == NORV && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == NORV && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == PORT && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == PORT && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == SUED && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == SUED && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == SUIS && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == SUIS && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == YOUG && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == YOUG && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == MAGH && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == MAGH && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == TURQ && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == TURQ && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == ARGE && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == ARGE && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == BRES && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == BRES && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == CHIL && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == CHIL && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == COLO && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == COLO && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == AFSU && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == AFSU && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == MARO && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == MARO && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == ISRA && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == ISRA && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == HONG && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == HONG && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == TCHE && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == TCHE && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == POLO && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == POLO && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == SLVQ && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == SLVQ && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == JAPO && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == JAPO && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == TAIW && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == TAIW && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == AUST && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == AUST && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == URUG && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == URUG && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == DAIB && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == DAIB && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == DAIC && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == DAIC && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == DAID && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == DAID && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == DAIF && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == DAIF && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == EUOR && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == EUOR && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == CETI && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == CETI && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == FRAN && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == DOTO && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == ALLE && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == AUTR && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == BELG && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == DANE && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == ESPA && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == FINL && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == GRBR && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == GREC && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == HOLL && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == IRLA && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == ISLA && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == ITAL && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == NORV && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == PORT && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == SUED && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == SUIS && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == YOUG && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == MAGH && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == TURQ && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == ARGE && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == BRES && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == CHIL && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == COLO && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == AFSU && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == MARO && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == ISRA && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == HONG && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == TCHE && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == POLO && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == SLVQ && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == JAPO && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == TAIW && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == AUST && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == URUG && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == DAIB && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == DAIC && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == DAID && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == DAIF && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == EUOR && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == CETI && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == FRAN && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == FRAN && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == FRAN && var54 == NAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == FRAN && var54 == NAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == DOTO && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == DOTO && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == ALLE && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == ALLE && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == AUTR && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == AUTR && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == BELG && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == BELG && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == DANE && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == DANE && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == ESPA && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == ESPA && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == FINL && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == FINL && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == GRBR && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == GRBR && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == GREC && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == GREC && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == HOLL && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == HOLL && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == IRLA && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == IRLA && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == ISLA && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == ISLA && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == ITAL && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == ITAL && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == NORV && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == NORV && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == PORT && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == PORT && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == SUED && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == SUED && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == SUIS && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == SUIS && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == YOUG && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == YOUG && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == MAGH && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == MAGH && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == TURQ && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == TURQ && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == ARGE && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == ARGE && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == BRES && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == BRES && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == CHIL && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == CHIL && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == COLO && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == COLO && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == AFSU && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == AFSU && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == MARO && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == MARO && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == ISRA && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == ISRA && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == HONG && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == HONG && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == TCHE && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == TCHE && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == POLO && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == POLO && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == SLVQ && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == SLVQ && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == JAPO && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == JAPO && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == TAIW && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == TAIW && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == AUST && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == AUST && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == URUG && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == URUG && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == DAIB && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == DAIB && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == DAIC && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == DAIC && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == DAID && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == DAID && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == DAIF && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == DAIF && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == EUOR && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == EUOR && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == CETI && var54 == SNAVIG && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == CETI && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == FRAN && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == DOTO && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == ALLE && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == AUTR && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == BELG && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == DANE && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == ESPA && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == FINL && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == GRBR && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == GREC && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == HOLL && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == IRLA && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == ISLA && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == ITAL && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == NORV && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == PORT && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == SUED && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == SUIS && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == YOUG && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == MAGH && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == TURQ && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == ARGE && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == BRES && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == CHIL && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == COLO && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == AFSU && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == MARO && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == ISRA && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == HONG && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == TCHE && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == POLO && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == SLVQ && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == JAPO && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == TAIW && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == AUST && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == URUG && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == DAIB && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == DAIC && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == DAID && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == DAIF && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == EUOR && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == CETI && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == FRAN && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == DOTO && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == ALLE && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == AUTR && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == BELG && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == DANE && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == ESPA && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == FINL && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == GRBR && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == GREC && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == HOLL && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == IRLA && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == ISLA && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == ITAL && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == NORV && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == PORT && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == SUED && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == SUIS && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == YOUG && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == MAGH && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == TURQ && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == ARGE && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == BRES && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == CHIL && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == COLO && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == AFSU && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == MARO && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == ISRA && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == HONG && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == TCHE && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == POLO && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == SLVQ && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == JAPO && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == TAIW && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == AUST && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == URUG && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == DAIB && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == DAIC && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == DAID && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == DAIF && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == EUOR && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == CETI && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == FRAN && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == DOTO && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == ALLE && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == AUTR && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == BELG && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == DANE && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == ESPA && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == FINL && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == GRBR && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == GREC && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == HOLL && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == IRLA && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == ISLA && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == ITAL && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == NORV && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == PORT && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == SUED && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == SUIS && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == YOUG && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == MAGH && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == TURQ && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == ARGE && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == BRES && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == CHIL && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == COLO && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == AFSU && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == MARO && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == ISRA && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == HONG && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == TCHE && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == POLO && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == SLVQ && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == JAPO && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == TAIW && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == AUST && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == URUG && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == DAIB && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == DAIC && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == DAID && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == DAIF && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == EUOR && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == CETI && var54 == SNAVIG && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) ) ); ( ( ( var1 == S64 && var2 == E1 && var5 == FRAN && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == FRAN && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == FRAN && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == FRAN && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DOTO && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DOTO && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DOTO && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DOTO && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ALLE && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ALLE && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ALLE && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ALLE && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == AUTR && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == AUTR && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == AUTR && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == AUTR && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == BELG && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == BELG && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == BELG && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == BELG && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DANE && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DANE && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DANE && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DANE && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ESPA && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ESPA && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ESPA && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ESPA && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == FINL && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == FINL && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == FINL && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == FINL && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == GRBR && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == GRBR && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == GRBR && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == GRBR && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == GREC && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == GREC && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == GREC && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == GREC && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == HOLL && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == HOLL && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == HOLL && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == HOLL && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == IRLA && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == IRLA && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == IRLA && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == IRLA && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ISLA && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ISLA && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ITAL && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ITAL && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ITAL && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ITAL && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == NORV && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == NORV && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == PORT && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == PORT && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == PORT && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == PORT && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == SUED && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == SUED && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == SUED && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == SUED && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == SUIS && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == SUIS && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == SUIS && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == SUIS && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == YOUG && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == YOUG && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == YOUG && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == YOUG && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == MAGH && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == MAGH && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == TURQ && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == TURQ && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ARGE && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ARGE && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == BRES && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == BRES && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == CHIL && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == CHIL && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == CHIL && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == CHIL && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == COLO && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == COLO && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == AFSU && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == AFSU && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == AFSU && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == AFSU && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == MARO && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == MARO && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ISRA && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ISRA && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == HONG && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == HONG && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == HONG && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == HONG && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == TCHE && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == TCHE && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == TCHE && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == TCHE && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == POLO && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == POLO && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == POLO && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == POLO && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == SLVQ && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == SLVQ && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == SLVQ && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == SLVQ && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == JAPO && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == JAPO && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == TAIW && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == TAIW && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == AUST && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == AUST && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == URUG && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == URUG && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == URUG && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == URUG && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DAIB && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DAIB && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DAIC && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DAIC && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DAID && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DAID && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DAID && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DAID && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DAIF && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DAIF && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DAIF && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DAIF && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == EUOR && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == EUOR && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == EUOR && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == EUOR && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == CETI && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == CETI && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == FRAN && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == FRAN && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == FRAN && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == FRAN && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DOTO && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DOTO && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DOTO && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DOTO && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ALLE && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ALLE && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ALLE && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ALLE && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == AUTR && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == AUTR && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == AUTR && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == AUTR && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == BELG && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == BELG && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == BELG && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == BELG && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DANE && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DANE && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DANE && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DANE && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ESPA && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ESPA && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ESPA && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ESPA && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == FINL && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == FINL && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == FINL && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == FINL && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == GRBR && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == GRBR && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == GRBR && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == GRBR && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == GREC && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == GREC && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == GREC && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == GREC && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == HOLL && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == HOLL && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == HOLL && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == HOLL && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == IRLA && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == IRLA && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == IRLA && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == IRLA && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ISLA && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ISLA && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ITAL && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ITAL && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ITAL && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ITAL && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == NORV && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == NORV && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == PORT && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == PORT && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == PORT && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == PORT && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == SUED && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == SUED && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == SUED && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == SUED && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == SUIS && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == SUIS && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == SUIS && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == SUIS && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == YOUG && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == YOUG && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == YOUG && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == YOUG && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == MAGH && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == MAGH && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == TURQ && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == TURQ && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ARGE && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ARGE && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == BRES && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == BRES && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == CHIL && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == CHIL && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == CHIL && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == CHIL && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == COLO && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == COLO && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == AFSU && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == AFSU && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == AFSU && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == AFSU && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == MARO && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == MARO && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ISRA && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ISRA && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == HONG && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == HONG && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == HONG && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == HONG && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == TCHE && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == TCHE && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == TCHE && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == TCHE && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == POLO && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == POLO && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == POLO && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == POLO && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == SLVQ && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == SLVQ && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == SLVQ && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == SLVQ && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == JAPO && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == JAPO && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == TAIW && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == TAIW && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == AUST && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == AUST && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == URUG && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == URUG && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == URUG && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == URUG && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DAIB && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DAIB && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DAIC && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DAIC && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DAID && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DAID && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DAID && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DAID && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DAIF && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DAIF && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DAIF && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DAIF && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == EUOR && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == EUOR && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == EUOR && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == EUOR && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == CETI && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == CETI && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == FRAN && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == FRAN && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == FRAN && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == FRAN && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == FRAN && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == FRAN && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DOTO && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DOTO && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DOTO && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DOTO && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DOTO && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DOTO && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ALLE && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ALLE && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ALLE && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ALLE && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ALLE && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ALLE && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == AUTR && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == AUTR && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == AUTR && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == AUTR && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == AUTR && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == AUTR && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == BELG && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == BELG && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == BELG && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == BELG && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == BELG && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == BELG && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DANE && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DANE && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DANE && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DANE && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DANE && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DANE && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ESPA && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ESPA && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ESPA && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ESPA && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ESPA && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ESPA && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == FINL && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == FINL && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == FINL && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == FINL && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == FINL && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == FINL && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == GRBR && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == GRBR && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == GRBR && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == GRBR && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == GRBR && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == GRBR && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == GREC && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == GREC && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == GREC && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == GREC && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == GREC && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == GREC && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == HOLL && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == HOLL && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == HOLL && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == HOLL && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == HOLL && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == HOLL && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == IRLA && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == IRLA && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == IRLA && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == IRLA && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == IRLA && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == IRLA && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ISLA && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ISLA && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ISLA && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ITAL && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ITAL && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ITAL && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ITAL && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ITAL && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ITAL && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == NORV && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == NORV && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == NORV && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == PORT && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == PORT && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == PORT && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == PORT && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == PORT && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == PORT && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == SUED && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == SUED && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == SUED && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == SUED && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == SUED && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == SUED && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == SUIS && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == SUIS && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == SUIS && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == SUIS && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == SUIS && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == SUIS && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == YOUG && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == YOUG && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == YOUG && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == YOUG && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == YOUG && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == YOUG && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == MAGH && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == MAGH && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == MAGH && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == TURQ && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == TURQ && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == TURQ && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ARGE && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ARGE && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ARGE && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == BRES && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == BRES && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == BRES && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == CHIL && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == CHIL && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == CHIL && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == CHIL && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == CHIL && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == CHIL && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == COLO && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == COLO && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == COLO && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == AFSU && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == AFSU && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == AFSU && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == AFSU && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == AFSU && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == AFSU && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == MARO && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == MARO && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == MARO && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ISRA && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ISRA && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ISRA && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == HONG && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == HONG && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == HONG && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == HONG && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == HONG && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == HONG && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == TCHE && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == TCHE && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == TCHE && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == TCHE && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == TCHE && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == TCHE && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == POLO && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == POLO && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == POLO && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == POLO && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == POLO && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == POLO && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == SLVQ && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == SLVQ && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == SLVQ && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == SLVQ && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == SLVQ && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == SLVQ && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == JAPO && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == JAPO && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == JAPO && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == TAIW && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == TAIW && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == TAIW && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == AUST && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == AUST && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == AUST && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == URUG && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == URUG && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == URUG && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == URUG && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == URUG && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == URUG && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAIB && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAIB && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAIB && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAIC && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAIC && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAIC && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAID && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAID && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAID && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAID && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAID && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAID && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAIF && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAIF && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAIF && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAIF && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAIF && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAIF && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == EUOR && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == EUOR && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == EUOR && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == EUOR && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == EUOR && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == EUOR && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == CETI && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == CETI && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == CETI && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == FRAN && var22 == SSRSIT && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == FRAN && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == FRAN && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == FRAN && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == FRAN && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == FRAN && var22 == SSRSIT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == FRAN && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == FRAN && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == FRAN && var22 == REGSIT && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == FRAN && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == FRAN && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == FRAN && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == FRAN && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == FRAN && var22 == REGSIT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == FRAN && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == FRAN && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DOTO && var22 == SSRSIT && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DOTO && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DOTO && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DOTO && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == DOTO && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DOTO && var22 == SSRSIT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DOTO && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DOTO && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DOTO && var22 == REGSIT && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DOTO && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DOTO && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DOTO && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == DOTO && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DOTO && var22 == REGSIT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DOTO && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DOTO && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ALLE && var22 == SSRSIT && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ALLE && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ALLE && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ALLE && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == ALLE && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ALLE && var22 == SSRSIT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ALLE && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ALLE && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ALLE && var22 == REGSIT && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ALLE && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ALLE && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ALLE && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == ALLE && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ALLE && var22 == REGSIT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ALLE && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ALLE && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AUTR && var22 == SSRSIT && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AUTR && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == AUTR && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AUTR && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == AUTR && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AUTR && var22 == SSRSIT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AUTR && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == AUTR && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AUTR && var22 == REGSIT && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AUTR && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == AUTR && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AUTR && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == AUTR && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AUTR && var22 == REGSIT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AUTR && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == AUTR && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == BELG && var22 == SSRSIT && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == BELG && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == BELG && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == BELG && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == BELG && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == BELG && var22 == SSRSIT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == BELG && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == BELG && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == BELG && var22 == REGSIT && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == BELG && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == BELG && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == BELG && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == BELG && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == BELG && var22 == REGSIT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == BELG && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == BELG && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DANE && var22 == SSRSIT && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DANE && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DANE && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DANE && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == DANE && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DANE && var22 == SSRSIT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DANE && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DANE && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DANE && var22 == REGSIT && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DANE && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DANE && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DANE && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == DANE && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DANE && var22 == REGSIT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DANE && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DANE && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ESPA && var22 == SSRSIT && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ESPA && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ESPA && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ESPA && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == ESPA && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ESPA && var22 == SSRSIT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ESPA && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ESPA && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ESPA && var22 == REGSIT && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ESPA && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ESPA && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ESPA && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == ESPA && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ESPA && var22 == REGSIT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ESPA && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ESPA && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == FINL && var22 == SSRSIT && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == FINL && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == FINL && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == FINL && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == FINL && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == FINL && var22 == SSRSIT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == FINL && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == FINL && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == FINL && var22 == REGSIT && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == FINL && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == FINL && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == FINL && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == FINL && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == FINL && var22 == REGSIT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == FINL && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == FINL && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GRBR && var22 == SSRSIT && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GRBR && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == GRBR && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GRBR && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == GRBR && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GRBR && var22 == SSRSIT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GRBR && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == GRBR && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GRBR && var22 == REGSIT && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GRBR && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == GRBR && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GRBR && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == GRBR && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GRBR && var22 == REGSIT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GRBR && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == GRBR && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GREC && var22 == SSRSIT && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GREC && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == GREC && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GREC && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == GREC && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GREC && var22 == SSRSIT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GREC && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == GREC && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GREC && var22 == REGSIT && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GREC && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == GREC && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GREC && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == GREC && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GREC && var22 == REGSIT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GREC && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == GREC && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HOLL && var22 == SSRSIT && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HOLL && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == HOLL && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HOLL && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == HOLL && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HOLL && var22 == SSRSIT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HOLL && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == HOLL && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HOLL && var22 == REGSIT && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HOLL && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == HOLL && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HOLL && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == HOLL && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HOLL && var22 == REGSIT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HOLL && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == HOLL && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == IRLA && var22 == SSRSIT && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == IRLA && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == IRLA && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == IRLA && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == IRLA && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == IRLA && var22 == SSRSIT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == IRLA && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == IRLA && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == IRLA && var22 == REGSIT && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == IRLA && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == IRLA && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == IRLA && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == IRLA && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == IRLA && var22 == REGSIT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == IRLA && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == IRLA && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ISLA && var22 == SSRSIT && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ISLA && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ISLA && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ISLA && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == ISLA && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ISLA && var22 == SSRSIT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ISLA && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ISLA && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ITAL && var22 == SSRSIT && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ITAL && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ITAL && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ITAL && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == ITAL && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ITAL && var22 == SSRSIT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ITAL && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ITAL && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ITAL && var22 == REGSIT && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ITAL && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ITAL && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ITAL && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == ITAL && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ITAL && var22 == REGSIT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ITAL && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ITAL && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == NORV && var22 == SSRSIT && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == NORV && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == NORV && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == NORV && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == NORV && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == NORV && var22 == SSRSIT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == NORV && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == NORV && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == PORT && var22 == SSRSIT && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == PORT && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == PORT && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == PORT && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == PORT && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == PORT && var22 == SSRSIT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == PORT && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == PORT && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == PORT && var22 == REGSIT && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == PORT && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == PORT && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == PORT && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == PORT && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == PORT && var22 == REGSIT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == PORT && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == PORT && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SUED && var22 == SSRSIT && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SUED && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == SUED && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SUED && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == SUED && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SUED && var22 == SSRSIT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SUED && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == SUED && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SUED && var22 == REGSIT && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SUED && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == SUED && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SUED && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == SUED && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SUED && var22 == REGSIT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SUED && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == SUED && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SUIS && var22 == SSRSIT && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SUIS && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == SUIS && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SUIS && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == SUIS && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SUIS && var22 == SSRSIT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SUIS && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == SUIS && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SUIS && var22 == REGSIT && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SUIS && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == SUIS && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SUIS && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == SUIS && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SUIS && var22 == REGSIT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SUIS && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == SUIS && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == YOUG && var22 == SSRSIT && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == YOUG && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == YOUG && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == YOUG && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == YOUG && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == YOUG && var22 == SSRSIT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == YOUG && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == YOUG && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == YOUG && var22 == REGSIT && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == YOUG && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == YOUG && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == YOUG && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == YOUG && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == YOUG && var22 == REGSIT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == YOUG && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == YOUG && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == MAGH && var22 == SSRSIT && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == MAGH && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == MAGH && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == MAGH && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == MAGH && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == MAGH && var22 == SSRSIT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == MAGH && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == MAGH && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TURQ && var22 == SSRSIT && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TURQ && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == TURQ && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TURQ && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == TURQ && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TURQ && var22 == SSRSIT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TURQ && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == TURQ && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ARGE && var22 == SSRSIT && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ARGE && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ARGE && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ARGE && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == ARGE && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ARGE && var22 == SSRSIT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ARGE && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ARGE && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == BRES && var22 == SSRSIT && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == BRES && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == BRES && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == BRES && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == BRES && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == BRES && var22 == SSRSIT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == BRES && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == BRES && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == CHIL && var22 == SSRSIT && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == CHIL && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == CHIL && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == CHIL && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == CHIL && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == CHIL && var22 == SSRSIT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == CHIL && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == CHIL && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == CHIL && var22 == REGSIT && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == CHIL && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == CHIL && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == CHIL && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == CHIL && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == CHIL && var22 == REGSIT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == CHIL && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == CHIL && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == COLO && var22 == SSRSIT && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == COLO && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == COLO && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == COLO && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == COLO && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == COLO && var22 == SSRSIT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == COLO && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == COLO && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AFSU && var22 == SSRSIT && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AFSU && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == AFSU && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AFSU && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == AFSU && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AFSU && var22 == SSRSIT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AFSU && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == AFSU && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AFSU && var22 == REGSIT && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AFSU && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == AFSU && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AFSU && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == AFSU && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AFSU && var22 == REGSIT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AFSU && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == AFSU && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == MARO && var22 == SSRSIT && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == MARO && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == MARO && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == MARO && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == MARO && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == MARO && var22 == SSRSIT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == MARO && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == MARO && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ISRA && var22 == SSRSIT && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ISRA && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ISRA && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ISRA && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == ISRA && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ISRA && var22 == SSRSIT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ISRA && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ISRA && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HONG && var22 == SSRSIT && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HONG && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == HONG && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HONG && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == HONG && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HONG && var22 == SSRSIT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HONG && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == HONG && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HONG && var22 == REGSIT && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HONG && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == HONG && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HONG && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == HONG && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HONG && var22 == REGSIT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HONG && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == HONG && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TCHE && var22 == SSRSIT && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TCHE && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == TCHE && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TCHE && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == TCHE && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TCHE && var22 == SSRSIT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TCHE && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == TCHE && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TCHE && var22 == REGSIT && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TCHE && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == TCHE && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TCHE && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == TCHE && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TCHE && var22 == REGSIT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TCHE && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == TCHE && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == POLO && var22 == SSRSIT && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == POLO && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == POLO && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == POLO && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == POLO && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == POLO && var22 == SSRSIT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == POLO && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == POLO && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == POLO && var22 == REGSIT && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == POLO && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == POLO && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == POLO && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == POLO && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == POLO && var22 == REGSIT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == POLO && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == POLO && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SLVQ && var22 == SSRSIT && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SLVQ && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == SLVQ && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SLVQ && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == SLVQ && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SLVQ && var22 == SSRSIT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SLVQ && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == SLVQ && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SLVQ && var22 == REGSIT && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SLVQ && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == SLVQ && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SLVQ && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == SLVQ && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SLVQ && var22 == REGSIT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SLVQ && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == SLVQ && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == JAPO && var22 == SSRSIT && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == JAPO && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == JAPO && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == JAPO && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == JAPO && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == JAPO && var22 == SSRSIT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == JAPO && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == JAPO && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TAIW && var22 == SSRSIT && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TAIW && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == TAIW && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TAIW && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == TAIW && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TAIW && var22 == SSRSIT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TAIW && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == TAIW && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AUST && var22 == SSRSIT && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AUST && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == AUST && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AUST && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == AUST && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AUST && var22 == SSRSIT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AUST && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == AUST && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == URUG && var22 == SSRSIT && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == URUG && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == URUG && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == URUG && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == URUG && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == URUG && var22 == SSRSIT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == URUG && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == URUG && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == URUG && var22 == REGSIT && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == URUG && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == URUG && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == URUG && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == URUG && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == URUG && var22 == REGSIT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == URUG && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == URUG && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIB && var22 == SSRSIT && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIB && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DAIB && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIB && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == DAIB && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIB && var22 == SSRSIT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIB && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DAIB && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIC && var22 == SSRSIT && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIC && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DAIC && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIC && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == DAIC && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIC && var22 == SSRSIT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIC && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DAIC && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAID && var22 == SSRSIT && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAID && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DAID && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAID && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == DAID && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAID && var22 == SSRSIT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAID && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DAID && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAID && var22 == REGSIT && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAID && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DAID && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAID && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == DAID && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAID && var22 == REGSIT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAID && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DAID && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIF && var22 == SSRSIT && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIF && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DAIF && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIF && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == DAIF && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIF && var22 == SSRSIT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIF && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DAIF && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIF && var22 == REGSIT && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIF && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DAIF && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIF && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == DAIF && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIF && var22 == REGSIT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIF && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DAIF && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == EUOR && var22 == SSRSIT && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == EUOR && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == EUOR && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == EUOR && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == EUOR && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == EUOR && var22 == SSRSIT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == EUOR && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == EUOR && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == EUOR && var22 == REGSIT && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == EUOR && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == EUOR && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == EUOR && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == EUOR && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == EUOR && var22 == REGSIT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == EUOR && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == EUOR && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == CETI && var22 == SSRSIT && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == CETI && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == CETI && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == CETI && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == CETI && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == CETI && var22 == SSRSIT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == CETI && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == CETI && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == FRAN && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == FRAN && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == FRAN && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == FRAN && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DOTO && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DOTO && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DOTO && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DOTO && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ALLE && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ALLE && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ALLE && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ALLE && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == AUTR && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == AUTR && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == AUTR && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == AUTR && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == BELG && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == BELG && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == BELG && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == BELG && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DANE && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DANE && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DANE && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DANE && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ESPA && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ESPA && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ESPA && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ESPA && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == FINL && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == FINL && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == FINL && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == FINL && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == GRBR && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == GRBR && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == GRBR && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == GRBR && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == GREC && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == GREC && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == GREC && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == GREC && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == HOLL && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == HOLL && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == HOLL && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == HOLL && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == IRLA && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == IRLA && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == IRLA && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == IRLA && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ISLA && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ISLA && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ITAL && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ITAL && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ITAL && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ITAL && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == NORV && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == NORV && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == PORT && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == PORT && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == PORT && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == PORT && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == SUED && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == SUED && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == SUED && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == SUED && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == SUIS && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == SUIS && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == SUIS && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == SUIS && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == YOUG && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == YOUG && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == YOUG && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == YOUG && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == MAGH && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == MAGH && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == TURQ && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == TURQ && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ARGE && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ARGE && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == BRES && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == BRES && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == CHIL && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == CHIL && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == CHIL && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == CHIL && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == COLO && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == COLO && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == AFSU && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == AFSU && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == AFSU && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == AFSU && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == MARO && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == MARO && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ISRA && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ISRA && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == HONG && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == HONG && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == HONG && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == HONG && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == TCHE && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == TCHE && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == TCHE && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == TCHE && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == POLO && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == POLO && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == POLO && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == POLO && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == SLVQ && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == SLVQ && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == SLVQ && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == SLVQ && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == JAPO && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == JAPO && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == TAIW && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == TAIW && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == AUST && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == AUST && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == URUG && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == URUG && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == URUG && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == URUG && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DAIB && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DAIB && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DAIC && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DAIC && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DAID && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DAID && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DAID && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DAID && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DAIF && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DAIF && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DAIF && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DAIF && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == EUOR && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == EUOR && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == EUOR && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == EUOR && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == CETI && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == CETI && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == FRAN && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == FRAN && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == DOTO && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == DOTO && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == ALLE && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == ALLE && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == AUTR && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == AUTR && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == BELG && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == BELG && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == DANE && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == DANE && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == ESPA && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == ESPA && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == FINL && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == FINL && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == GRBR && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == GRBR && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == GREC && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == GREC && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == HOLL && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == HOLL && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == IRLA && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == IRLA && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == ISLA && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == ITAL && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == ITAL && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == NORV && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == PORT && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == PORT && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == SUED && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == SUED && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == SUIS && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == SUIS && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == YOUG && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == YOUG && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == MAGH && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == TURQ && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == ARGE && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == BRES && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == CHIL && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == CHIL && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == COLO && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == AFSU && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == AFSU && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == MARO && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == ISRA && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == HONG && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == HONG && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == TCHE && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == TCHE && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == POLO && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == POLO && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == SLVQ && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == SLVQ && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == JAPO && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == TAIW && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == AUST && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == URUG && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == URUG && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == DAIB && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == DAIC && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == DAID && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == DAID && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == DAIF && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == DAIF && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == EUOR && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == EUOR && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == CETI && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == FRAN && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == FRAN && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == DOTO && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == DOTO && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == ALLE && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == ALLE && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == AUTR && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == AUTR && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == BELG && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == BELG && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == DANE && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == DANE && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == ESPA && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == ESPA && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == FINL && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == FINL && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == GRBR && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == GRBR && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == GREC && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == GREC && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == HOLL && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == HOLL && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == IRLA && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == IRLA && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == ISLA && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == ITAL && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == ITAL && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == NORV && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == PORT && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == PORT && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == SUED && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == SUED && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == SUIS && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == SUIS && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == YOUG && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == YOUG && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == MAGH && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == TURQ && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == ARGE && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == BRES && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == CHIL && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == CHIL && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == COLO && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == AFSU && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == AFSU && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == MARO && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == ISRA && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == HONG && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == HONG && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == TCHE && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == TCHE && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == POLO && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == POLO && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == SLVQ && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == SLVQ && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == JAPO && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == TAIW && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == AUST && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == URUG && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == URUG && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == DAIB && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == DAIC && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == DAID && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == DAID && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == DAIF && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == DAIF && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == EUOR && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == EUOR && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == CETI && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == FRAN && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == FRAN && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == FRAN && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == FRAN && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DOTO && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DOTO && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DOTO && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DOTO && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ALLE && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ALLE && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ALLE && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ALLE && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == AUTR && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == AUTR && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == AUTR && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == AUTR && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == BELG && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == BELG && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == BELG && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == BELG && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DANE && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DANE && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DANE && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DANE && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ESPA && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ESPA && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ESPA && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ESPA && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == FINL && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == FINL && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == FINL && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == FINL && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == GRBR && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == GRBR && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == GRBR && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == GRBR && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == GREC && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == GREC && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == GREC && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == GREC && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == HOLL && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == HOLL && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == HOLL && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == HOLL && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == IRLA && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == IRLA && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == IRLA && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == IRLA && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ISLA && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ISLA && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ITAL && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ITAL && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ITAL && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ITAL && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == NORV && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == NORV && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == PORT && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == PORT && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == PORT && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == PORT && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == SUED && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == SUED && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == SUED && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == SUED && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == SUIS && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == SUIS && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == SUIS && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == SUIS && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == YOUG && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == YOUG && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == YOUG && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == YOUG && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == MAGH && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == MAGH && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == TURQ && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == TURQ && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ARGE && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ARGE && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == BRES && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == BRES && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == CHIL && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == CHIL && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == CHIL && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == CHIL && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == COLO && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == COLO && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == AFSU && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == AFSU && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == AFSU && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == AFSU && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == MARO && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == MARO && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ISRA && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ISRA && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == HONG && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == HONG && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == HONG && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == HONG && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == TCHE && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == TCHE && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == TCHE && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == TCHE && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == POLO && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == POLO && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == POLO && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == POLO && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == SLVQ && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == SLVQ && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == SLVQ && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == SLVQ && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == JAPO && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == JAPO && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == TAIW && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == TAIW && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == AUST && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == AUST && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == URUG && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == URUG && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == URUG && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == URUG && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DAIB && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DAIB && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DAIC && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DAIC && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DAID && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DAID && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DAID && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DAID && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DAIF && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DAIF && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DAIF && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DAIF && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == EUOR && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == EUOR && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == EUOR && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == EUOR && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == CETI && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == CETI && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == FRAN && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == FRAN && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == FRAN && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == FRAN && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == FRAN && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == FRAN && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DOTO && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DOTO && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DOTO && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DOTO && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DOTO && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DOTO && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ALLE && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ALLE && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ALLE && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ALLE && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ALLE && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ALLE && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == AUTR && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == AUTR && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == AUTR && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == AUTR && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == AUTR && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == AUTR && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == BELG && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == BELG && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == BELG && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == BELG && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == BELG && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == BELG && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DANE && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DANE && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DANE && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DANE && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DANE && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DANE && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ESPA && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ESPA && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ESPA && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ESPA && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ESPA && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ESPA && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == FINL && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == FINL && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == FINL && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == FINL && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == FINL && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == FINL && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == GRBR && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == GRBR && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == GRBR && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == GRBR && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == GRBR && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == GRBR && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == GREC && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == GREC && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == GREC && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == GREC && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == GREC && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == GREC && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == HOLL && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == HOLL && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == HOLL && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == HOLL && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == HOLL && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == HOLL && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == IRLA && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == IRLA && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == IRLA && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == IRLA && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == IRLA && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == IRLA && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ISLA && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ISLA && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ISLA && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ITAL && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ITAL && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ITAL && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ITAL && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ITAL && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ITAL && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == NORV && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == NORV && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == NORV && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == PORT && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == PORT && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == PORT && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == PORT && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == PORT && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == PORT && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == SUED && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == SUED && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == SUED && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == SUED && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == SUED && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == SUED && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == SUIS && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == SUIS && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == SUIS && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == SUIS && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == SUIS && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == SUIS && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == YOUG && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == YOUG && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == YOUG && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == YOUG && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == YOUG && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == YOUG && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == MAGH && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == MAGH && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == MAGH && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == TURQ && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == TURQ && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == TURQ && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ARGE && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ARGE && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ARGE && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == BRES && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == BRES && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == BRES && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == CHIL && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == CHIL && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == CHIL && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == CHIL && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == CHIL && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == CHIL && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == COLO && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == COLO && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == COLO && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == AFSU && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == AFSU && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == AFSU && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == AFSU && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == AFSU && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == AFSU && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == MARO && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == MARO && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == MARO && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ISRA && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ISRA && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ISRA && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == HONG && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == HONG && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == HONG && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == HONG && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == HONG && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == HONG && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == TCHE && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == TCHE && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == TCHE && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == TCHE && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == TCHE && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == TCHE && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == POLO && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == POLO && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == POLO && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == POLO && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == POLO && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == POLO && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == SLVQ && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == SLVQ && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == SLVQ && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == SLVQ && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == SLVQ && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == SLVQ && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == JAPO && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == JAPO && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == JAPO && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == TAIW && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == TAIW && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == TAIW && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == AUST && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == AUST && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == AUST && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == URUG && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == URUG && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == URUG && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == URUG && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == URUG && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == URUG && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DAIB && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DAIB && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DAIB && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DAIC && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DAIC && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DAIC && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DAID && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DAID && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DAID && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DAID && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DAID && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DAID && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DAIF && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DAIF && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DAIF && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DAIF && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DAIF && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DAIF && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == EUOR && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == EUOR && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == EUOR && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == EUOR && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == EUOR && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == EUOR && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == CETI && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == CETI && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == CETI && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FRAN && var22 == SSRSIT && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FRAN && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FRAN && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FRAN && var22 == SSRSIT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FRAN && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FRAN && var22 == REGSIT && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FRAN && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FRAN && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FRAN && var22 == REGSIT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FRAN && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DOTO && var22 == SSRSIT && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DOTO && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DOTO && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DOTO && var22 == SSRSIT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DOTO && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DOTO && var22 == REGSIT && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DOTO && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DOTO && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DOTO && var22 == REGSIT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DOTO && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ALLE && var22 == SSRSIT && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ALLE && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ALLE && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ALLE && var22 == SSRSIT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ALLE && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ALLE && var22 == REGSIT && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ALLE && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ALLE && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ALLE && var22 == REGSIT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ALLE && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AUTR && var22 == SSRSIT && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AUTR && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AUTR && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AUTR && var22 == SSRSIT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AUTR && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AUTR && var22 == REGSIT && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AUTR && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AUTR && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AUTR && var22 == REGSIT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AUTR && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == BELG && var22 == SSRSIT && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == BELG && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == BELG && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == BELG && var22 == SSRSIT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == BELG && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == BELG && var22 == REGSIT && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == BELG && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == BELG && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == BELG && var22 == REGSIT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == BELG && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DANE && var22 == SSRSIT && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DANE && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DANE && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DANE && var22 == SSRSIT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DANE && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DANE && var22 == REGSIT && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DANE && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DANE && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DANE && var22 == REGSIT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DANE && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ESPA && var22 == SSRSIT && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ESPA && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ESPA && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ESPA && var22 == SSRSIT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ESPA && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ESPA && var22 == REGSIT && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ESPA && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ESPA && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ESPA && var22 == REGSIT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ESPA && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FINL && var22 == SSRSIT && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FINL && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FINL && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FINL && var22 == SSRSIT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FINL && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FINL && var22 == REGSIT && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FINL && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FINL && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FINL && var22 == REGSIT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FINL && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == GRBR && var22 == SSRSIT && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == GRBR && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == GRBR && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == GRBR && var22 == SSRSIT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == GRBR && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == GRBR && var22 == REGSIT && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == GRBR && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == GRBR && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == GRBR && var22 == REGSIT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == GRBR && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == GREC && var22 == SSRSIT && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == GREC && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == GREC && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == GREC && var22 == SSRSIT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == GREC && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == GREC && var22 == REGSIT && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == GREC && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == GREC && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == GREC && var22 == REGSIT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == GREC && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == HOLL && var22 == SSRSIT && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == HOLL && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == HOLL && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == HOLL && var22 == SSRSIT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == HOLL && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == HOLL && var22 == REGSIT && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == HOLL && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == HOLL && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == HOLL && var22 == REGSIT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == HOLL && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == IRLA && var22 == SSRSIT && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == IRLA && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == IRLA && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == IRLA && var22 == SSRSIT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == IRLA && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == IRLA && var22 == REGSIT && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == IRLA && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == IRLA && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == IRLA && var22 == REGSIT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == IRLA && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ISLA && var22 == SSRSIT && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ISLA && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ISLA && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ISLA && var22 == SSRSIT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ISLA && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ITAL && var22 == SSRSIT && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ITAL && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ITAL && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ITAL && var22 == SSRSIT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ITAL && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ITAL && var22 == REGSIT && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ITAL && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ITAL && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ITAL && var22 == REGSIT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ITAL && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == NORV && var22 == SSRSIT && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == NORV && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == NORV && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == NORV && var22 == SSRSIT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == NORV && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == PORT && var22 == SSRSIT && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == PORT && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == PORT && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == PORT && var22 == SSRSIT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == PORT && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == PORT && var22 == REGSIT && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == PORT && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == PORT && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == PORT && var22 == REGSIT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == PORT && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SUED && var22 == SSRSIT && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SUED && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SUED && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SUED && var22 == SSRSIT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SUED && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SUED && var22 == REGSIT && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SUED && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SUED && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SUED && var22 == REGSIT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SUED && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SUIS && var22 == SSRSIT && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SUIS && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SUIS && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SUIS && var22 == SSRSIT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SUIS && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SUIS && var22 == REGSIT && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SUIS && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SUIS && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SUIS && var22 == REGSIT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SUIS && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == YOUG && var22 == SSRSIT && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == YOUG && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == YOUG && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == YOUG && var22 == SSRSIT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == YOUG && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == YOUG && var22 == REGSIT && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == YOUG && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == YOUG && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == YOUG && var22 == REGSIT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == YOUG && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == MAGH && var22 == SSRSIT && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == MAGH && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == MAGH && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == MAGH && var22 == SSRSIT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == MAGH && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TURQ && var22 == SSRSIT && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TURQ && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TURQ && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TURQ && var22 == SSRSIT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TURQ && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ARGE && var22 == SSRSIT && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ARGE && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ARGE && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ARGE && var22 == SSRSIT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ARGE && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == BRES && var22 == SSRSIT && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == BRES && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == BRES && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == BRES && var22 == SSRSIT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == BRES && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == CHIL && var22 == SSRSIT && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == CHIL && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == CHIL && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == CHIL && var22 == SSRSIT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == CHIL && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == CHIL && var22 == REGSIT && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == CHIL && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == CHIL && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == CHIL && var22 == REGSIT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == CHIL && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == COLO && var22 == SSRSIT && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == COLO && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == COLO && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == COLO && var22 == SSRSIT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == COLO && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AFSU && var22 == SSRSIT && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AFSU && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AFSU && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AFSU && var22 == SSRSIT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AFSU && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AFSU && var22 == REGSIT && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AFSU && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AFSU && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AFSU && var22 == REGSIT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AFSU && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == MARO && var22 == SSRSIT && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == MARO && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == MARO && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == MARO && var22 == SSRSIT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == MARO && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ISRA && var22 == SSRSIT && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ISRA && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ISRA && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ISRA && var22 == SSRSIT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ISRA && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == HONG && var22 == SSRSIT && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == HONG && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == HONG && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == HONG && var22 == SSRSIT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == HONG && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == HONG && var22 == REGSIT && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == HONG && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == HONG && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == HONG && var22 == REGSIT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == HONG && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TCHE && var22 == SSRSIT && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TCHE && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TCHE && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TCHE && var22 == SSRSIT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TCHE && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TCHE && var22 == REGSIT && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TCHE && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TCHE && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TCHE && var22 == REGSIT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TCHE && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == POLO && var22 == SSRSIT && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == POLO && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == POLO && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == POLO && var22 == SSRSIT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == POLO && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == POLO && var22 == REGSIT && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == POLO && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == POLO && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == POLO && var22 == REGSIT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == POLO && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SLVQ && var22 == SSRSIT && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SLVQ && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SLVQ && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SLVQ && var22 == SSRSIT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SLVQ && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SLVQ && var22 == REGSIT && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SLVQ && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SLVQ && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SLVQ && var22 == REGSIT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SLVQ && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == JAPO && var22 == SSRSIT && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == JAPO && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == JAPO && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == JAPO && var22 == SSRSIT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == JAPO && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TAIW && var22 == SSRSIT && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TAIW && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TAIW && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TAIW && var22 == SSRSIT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TAIW && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AUST && var22 == SSRSIT && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AUST && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AUST && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AUST && var22 == SSRSIT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AUST && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == URUG && var22 == SSRSIT && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == URUG && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == URUG && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == URUG && var22 == SSRSIT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == URUG && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == URUG && var22 == REGSIT && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == URUG && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == URUG && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == URUG && var22 == REGSIT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == URUG && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIB && var22 == SSRSIT && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIB && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIB && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIB && var22 == SSRSIT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIB && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIC && var22 == SSRSIT && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIC && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIC && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIC && var22 == SSRSIT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIC && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAID && var22 == SSRSIT && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAID && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAID && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAID && var22 == SSRSIT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAID && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAID && var22 == REGSIT && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAID && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAID && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAID && var22 == REGSIT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAID && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIF && var22 == SSRSIT && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIF && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIF && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIF && var22 == SSRSIT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIF && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIF && var22 == REGSIT && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIF && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIF && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIF && var22 == REGSIT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIF && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == EUOR && var22 == SSRSIT && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == EUOR && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == EUOR && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == EUOR && var22 == SSRSIT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == EUOR && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == EUOR && var22 == REGSIT && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == EUOR && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == EUOR && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == EUOR && var22 == REGSIT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == EUOR && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == CETI && var22 == SSRSIT && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == CETI && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == CETI && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == CETI && var22 == SSRSIT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == CETI && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == FRAN && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == FRAN && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == FRAN && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == FRAN && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DOTO && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DOTO && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DOTO && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DOTO && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ALLE && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ALLE && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ALLE && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ALLE && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == AUTR && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == AUTR && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == AUTR && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == AUTR && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == BELG && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == BELG && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == BELG && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == BELG && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DANE && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DANE && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DANE && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DANE && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ESPA && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ESPA && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ESPA && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ESPA && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == FINL && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == FINL && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == FINL && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == FINL && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == GRBR && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == GRBR && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == GRBR && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == GRBR && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == GREC && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == GREC && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == GREC && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == GREC && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == HOLL && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == HOLL && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == HOLL && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == HOLL && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == IRLA && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == IRLA && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == IRLA && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == IRLA && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ISLA && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ISLA && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ITAL && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ITAL && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ITAL && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ITAL && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == NORV && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == NORV && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == PORT && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == PORT && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == PORT && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == PORT && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == SUED && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == SUED && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == SUED && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == SUED && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == SUIS && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == SUIS && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == SUIS && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == SUIS && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == YOUG && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == YOUG && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == YOUG && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == YOUG && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == MAGH && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == MAGH && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == TURQ && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == TURQ && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ARGE && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ARGE && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == BRES && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == BRES && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == CHIL && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == CHIL && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == CHIL && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == CHIL && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == COLO && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == COLO && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == AFSU && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == AFSU && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == AFSU && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == AFSU && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == MARO && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == MARO && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ISRA && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ISRA && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == HONG && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == HONG && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == HONG && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == HONG && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == TCHE && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == TCHE && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == TCHE && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == TCHE && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == POLO && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == POLO && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == POLO && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == POLO && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == SLVQ && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == SLVQ && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == SLVQ && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == SLVQ && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == JAPO && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == JAPO && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == TAIW && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == TAIW && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == AUST && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == AUST && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == URUG && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == URUG && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == URUG && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == URUG && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DAIB && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DAIB && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DAIC && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DAIC && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DAID && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DAID && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DAID && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DAID && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DAIF && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DAIF && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DAIF && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DAIF && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == EUOR && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == EUOR && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == EUOR && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == EUOR && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == CETI && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == CETI && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == FRAN && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == FRAN && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == FRAN && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == FRAN && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == FRAN && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == FRAN && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DOTO && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DOTO && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DOTO && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DOTO && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DOTO && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DOTO && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ALLE && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ALLE && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ALLE && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ALLE && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ALLE && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ALLE && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == AUTR && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == AUTR && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == AUTR && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == AUTR && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == AUTR && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == AUTR && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == BELG && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == BELG && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == BELG && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == BELG && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == BELG && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == BELG && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DANE && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DANE && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DANE && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DANE && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DANE && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DANE && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ESPA && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ESPA && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ESPA && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ESPA && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ESPA && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ESPA && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == FINL && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == FINL && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == FINL && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == FINL && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == FINL && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == FINL && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == GRBR && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == GRBR && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == GRBR && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == GRBR && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == GRBR && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == GRBR && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == GREC && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == GREC && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == GREC && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == GREC && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == GREC && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == GREC && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == HOLL && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == HOLL && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == HOLL && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == HOLL && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == HOLL && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == HOLL && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == IRLA && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == IRLA && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == IRLA && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == IRLA && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == IRLA && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == IRLA && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ISLA && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ISLA && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ISLA && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ITAL && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ITAL && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ITAL && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ITAL && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ITAL && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ITAL && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == NORV && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == NORV && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == NORV && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == PORT && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == PORT && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == PORT && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == PORT && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == PORT && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == PORT && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == SUED && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == SUED && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == SUED && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == SUED && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == SUED && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == SUED && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == SUIS && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == SUIS && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == SUIS && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == SUIS && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == SUIS && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == SUIS && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == YOUG && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == YOUG && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == YOUG && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == YOUG && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == YOUG && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == YOUG && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == MAGH && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == MAGH && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == MAGH && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == TURQ && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == TURQ && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == TURQ && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ARGE && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ARGE && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ARGE && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == BRES && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == BRES && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == BRES && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == CHIL && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == CHIL && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == CHIL && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == CHIL && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == CHIL && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == CHIL && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == COLO && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == COLO && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == COLO && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == AFSU && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == AFSU && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == AFSU && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == AFSU && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == AFSU && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == AFSU && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == MARO && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == MARO && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == MARO && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ISRA && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ISRA && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ISRA && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == HONG && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == HONG && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == HONG && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == HONG && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == HONG && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == HONG && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == TCHE && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == TCHE && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == TCHE && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == TCHE && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == TCHE && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == TCHE && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == POLO && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == POLO && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == POLO && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == POLO && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == POLO && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == POLO && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == SLVQ && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == SLVQ && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == SLVQ && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == SLVQ && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == SLVQ && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == SLVQ && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == JAPO && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == JAPO && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == JAPO && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == TAIW && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == TAIW && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == TAIW && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == AUST && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == AUST && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == AUST && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == URUG && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == URUG && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == URUG && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == URUG && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == URUG && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == URUG && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAIB && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAIB && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAIB && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAIC && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAIC && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAIC && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAID && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAID && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAID && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAID && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAID && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAID && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAIF && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAIF && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAIF && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAIF && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAIF && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAIF && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == EUOR && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == EUOR && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == EUOR && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == EUOR && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == EUOR && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == EUOR && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == CETI && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == CETI && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == CETI && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == FRAN && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == FRAN && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == FRAN && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == FRAN && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == FRAN && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == FRAN && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == FRAN && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == FRAN && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == FRAN && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == FRAN && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == FRAN && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == FRAN && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DOTO && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DOTO && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DOTO && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == DOTO && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DOTO && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DOTO && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DOTO && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DOTO && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DOTO && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == DOTO && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DOTO && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DOTO && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ALLE && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ALLE && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ALLE && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == ALLE && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ALLE && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ALLE && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ALLE && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ALLE && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ALLE && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == ALLE && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ALLE && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ALLE && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == AUTR && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == AUTR && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == AUTR && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == AUTR && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == AUTR && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == AUTR && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == AUTR && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == AUTR && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == AUTR && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == AUTR && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == AUTR && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == AUTR && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == BELG && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == BELG && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == BELG && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == BELG && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == BELG && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == BELG && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == BELG && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == BELG && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == BELG && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == BELG && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == BELG && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == BELG && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DANE && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DANE && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DANE && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == DANE && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DANE && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DANE && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DANE && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DANE && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DANE && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == DANE && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DANE && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DANE && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ESPA && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ESPA && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ESPA && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == ESPA && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ESPA && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ESPA && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ESPA && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ESPA && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ESPA && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == ESPA && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ESPA && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ESPA && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == FINL && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == FINL && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == FINL && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == FINL && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == FINL && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == FINL && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == FINL && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == FINL && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == FINL && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == FINL && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == FINL && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == FINL && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == GRBR && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == GRBR && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == GRBR && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == GRBR && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == GRBR && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == GRBR && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == GRBR && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == GRBR && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == GRBR && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == GRBR && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == GRBR && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == GRBR && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == GREC && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == GREC && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == GREC && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == GREC && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == GREC && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == GREC && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == GREC && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == GREC && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == GREC && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == GREC && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == GREC && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == GREC && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == HOLL && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == HOLL && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == HOLL && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == HOLL && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == HOLL && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == HOLL && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == HOLL && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == HOLL && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == HOLL && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == HOLL && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == HOLL && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == HOLL && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == IRLA && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == IRLA && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == IRLA && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == IRLA && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == IRLA && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == IRLA && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == IRLA && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == IRLA && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == IRLA && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == IRLA && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == IRLA && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == IRLA && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ISLA && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ISLA && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ISLA && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == ISLA && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ISLA && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ISLA && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ITAL && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ITAL && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ITAL && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == ITAL && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ITAL && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ITAL && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ITAL && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ITAL && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ITAL && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == ITAL && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ITAL && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ITAL && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == NORV && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == NORV && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == NORV && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == NORV && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == NORV && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == NORV && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == PORT && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == PORT && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == PORT && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == PORT && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == PORT && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == PORT && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == PORT && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == PORT && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == PORT && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == PORT && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == PORT && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == PORT && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == SUED && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == SUED && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == SUED && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == SUED && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == SUED && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == SUED && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == SUED && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == SUED && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == SUED && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == SUED && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == SUED && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == SUED && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == SUIS && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == SUIS && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == SUIS && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == SUIS && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == SUIS && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == SUIS && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == SUIS && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == SUIS && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == SUIS && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == SUIS && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == SUIS && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == SUIS && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == YOUG && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == YOUG && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == YOUG && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == YOUG && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == YOUG && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == YOUG && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == YOUG && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == YOUG && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == YOUG && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == YOUG && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == YOUG && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == YOUG && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == MAGH && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == MAGH && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == MAGH && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == MAGH && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == MAGH && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == MAGH && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == TURQ && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == TURQ && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == TURQ && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == TURQ && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == TURQ && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == TURQ && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ARGE && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ARGE && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ARGE && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == ARGE && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ARGE && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ARGE && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == BRES && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == BRES && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == BRES && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == BRES && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == BRES && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == BRES && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == CHIL && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == CHIL && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == CHIL && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == CHIL && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == CHIL && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == CHIL && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == CHIL && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == CHIL && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == CHIL && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == CHIL && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == CHIL && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == CHIL && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == COLO && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == COLO && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == COLO && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == COLO && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == COLO && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == COLO && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == AFSU && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == AFSU && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == AFSU && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == AFSU && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == AFSU && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == AFSU && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == AFSU && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == AFSU && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == AFSU && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == AFSU && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == AFSU && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == AFSU && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == MARO && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == MARO && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == MARO && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == MARO && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == MARO && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == MARO && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ISRA && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ISRA && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ISRA && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == ISRA && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ISRA && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ISRA && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == HONG && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == HONG && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == HONG && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == HONG && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == HONG && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == HONG && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == HONG && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == HONG && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == HONG && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == HONG && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == HONG && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == HONG && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == TCHE && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == TCHE && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == TCHE && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == TCHE && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == TCHE && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == TCHE && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == TCHE && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == TCHE && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == TCHE && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == TCHE && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == TCHE && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == TCHE && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == POLO && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == POLO && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == POLO && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == POLO && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == POLO && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == POLO && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == POLO && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == POLO && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == POLO && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == POLO && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == POLO && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == POLO && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == SLVQ && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == SLVQ && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == SLVQ && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == SLVQ && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == SLVQ && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == SLVQ && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == SLVQ && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == SLVQ && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == SLVQ && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == SLVQ && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == SLVQ && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == SLVQ && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == JAPO && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == JAPO && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == JAPO && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == JAPO && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == JAPO && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == JAPO && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == TAIW && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == TAIW && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == TAIW && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == TAIW && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == TAIW && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == TAIW && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == AUST && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == AUST && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == AUST && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == AUST && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == AUST && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == AUST && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == URUG && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == URUG && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == URUG && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == URUG && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == URUG && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == URUG && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == URUG && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == URUG && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == URUG && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == URUG && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == URUG && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == URUG && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAIB && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DAIB && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAIB && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == DAIB && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAIB && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DAIB && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAIC && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DAIC && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAIC && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == DAIC && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAIC && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DAIC && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAID && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DAID && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAID && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == DAID && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAID && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DAID && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAID && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DAID && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAID && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == DAID && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAID && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DAID && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAIF && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DAIF && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAIF && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == DAIF && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAIF && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DAIF && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAIF && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DAIF && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAIF && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == DAIF && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAIF && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DAIF && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == EUOR && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == EUOR && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == EUOR && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == EUOR && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == EUOR && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == EUOR && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == EUOR && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == EUOR && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == EUOR && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == EUOR && var22 == REGSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == EUOR && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == EUOR && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == CETI && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == CETI && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == CETI && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == CETI && var22 == SSRSIT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == CETI && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == CETI && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == FRAN && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == FRAN && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == FRAN && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == FRAN && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == DOTO && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == DOTO && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == DOTO && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == DOTO && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == ALLE && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == ALLE && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == ALLE && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == ALLE && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == AUTR && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == AUTR && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == AUTR && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == AUTR && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == BELG && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == BELG && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == BELG && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == BELG && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == DANE && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == DANE && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == DANE && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == DANE && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == ESPA && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == ESPA && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == ESPA && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == ESPA && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == FINL && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == FINL && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == FINL && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == FINL && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == GRBR && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == GRBR && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == GRBR && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == GRBR && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == GREC && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == GREC && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == GREC && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == GREC && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == HOLL && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == HOLL && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == HOLL && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == HOLL && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == IRLA && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == IRLA && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == IRLA && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == IRLA && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == ISLA && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == ISLA && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == ITAL && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == ITAL && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == ITAL && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == ITAL && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == NORV && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == NORV && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == PORT && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == PORT && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == PORT && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == PORT && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == SUED && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == SUED && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == SUED && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == SUED && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == SUIS && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == SUIS && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == SUIS && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == SUIS && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == YOUG && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == YOUG && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == YOUG && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == YOUG && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == MAGH && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == MAGH && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == TURQ && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == TURQ && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == ARGE && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == ARGE && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == BRES && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == BRES && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == CHIL && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == CHIL && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == CHIL && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == CHIL && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == COLO && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == COLO && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == AFSU && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == AFSU && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == AFSU && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == AFSU && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == MARO && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == MARO && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == ISRA && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == ISRA && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == HONG && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == HONG && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == HONG && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == HONG && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == TCHE && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == TCHE && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == TCHE && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == TCHE && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == POLO && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == POLO && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == POLO && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == POLO && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == SLVQ && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == SLVQ && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == SLVQ && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == SLVQ && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == JAPO && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == JAPO && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == TAIW && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == TAIW && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == AUST && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == AUST && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == URUG && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == URUG && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == URUG && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == URUG && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == DAIB && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == DAIB && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == DAIC && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == DAIC && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == DAID && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == DAID && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == DAID && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == DAID && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == DAIF && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == DAIF && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == DAIF && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == DAIF && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == EUOR && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == EUOR && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == EUOR && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == EUOR && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == CETI && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == CETI && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == FRAN && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == DOTO && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == ALLE && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == AUTR && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == BELG && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == DANE && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == ESPA && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == FINL && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == GRBR && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == GREC && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == HOLL && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == IRLA && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == ISLA && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == ITAL && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == NORV && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == PORT && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == SUED && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == SUIS && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == YOUG && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == MAGH && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == TURQ && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == ARGE && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == BRES && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == CHIL && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == COLO && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == AFSU && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == MARO && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == ISRA && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == HONG && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == TCHE && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == POLO && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == SLVQ && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == JAPO && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == TAIW && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == AUST && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == URUG && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == DAIB && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == DAIC && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == DAID && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == DAIF && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == EUOR && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == CETI && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == FRAN && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == FRAN && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == FRAN && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == FRAN && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == DOTO && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == DOTO && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == DOTO && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == DOTO && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == ALLE && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == ALLE && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == ALLE && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == ALLE && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == AUTR && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == AUTR && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == AUTR && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == AUTR && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == BELG && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == BELG && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == BELG && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == BELG && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == DANE && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == DANE && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == DANE && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == DANE && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == ESPA && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == ESPA && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == ESPA && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == ESPA && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == FINL && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == FINL && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == FINL && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == FINL && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == GRBR && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == GRBR && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == GRBR && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == GRBR && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == GREC && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == GREC && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == GREC && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == GREC && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == HOLL && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == HOLL && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == HOLL && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == HOLL && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == IRLA && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == IRLA && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == IRLA && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == IRLA && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == ISLA && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == ISLA && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == ITAL && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == ITAL && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == ITAL && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == ITAL && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == NORV && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == NORV && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == PORT && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == PORT && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == PORT && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) ) || ( ( var1 == F64 && var2 == E2 && var5 == PORT && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == SUED && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == SUED && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == SUED && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == SUED && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == SUIS && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == SUIS && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == SUIS && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == SUIS && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == YOUG && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == YOUG && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == YOUG && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == YOUG && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == MAGH && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == MAGH && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == TURQ && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == TURQ && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == ARGE && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == ARGE && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == BRES && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == BRES && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == CHIL && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == CHIL && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == CHIL && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == CHIL && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == COLO && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == COLO && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == AFSU && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == AFSU && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == AFSU && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == AFSU && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == MARO && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == MARO && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == ISRA && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == ISRA && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == HONG && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == HONG && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == HONG && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == HONG && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == TCHE && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == TCHE && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == TCHE && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == TCHE && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == POLO && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == POLO && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == POLO && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == POLO && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == SLVQ && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == SLVQ && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == SLVQ && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == SLVQ && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == JAPO && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == JAPO && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == TAIW && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == TAIW && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == AUST && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == AUST && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == URUG && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == URUG && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == URUG && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == URUG && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == DAIB && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == DAIB && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == DAIC && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == DAIC && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == DAID && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == DAID && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == DAID && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == DAID && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == DAIF && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == DAIF && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == DAIF && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == DAIF && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == EUOR && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == EUOR && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == EUOR && var22 == REGSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == EUOR && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == CETI && var22 == SSRSIT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == CETI && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == FRAN && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == FRAN && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == DOTO && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == DOTO && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == ALLE && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == ALLE && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == AUTR && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == AUTR && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == BELG && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == BELG && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == DANE && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == DANE && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == ESPA && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == ESPA && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == FINL && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == FINL && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == GRBR && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == GRBR && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == GREC && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == GREC && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == HOLL && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == HOLL && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == IRLA && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == IRLA && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == ISLA && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == ITAL && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == ITAL && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == NORV && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == PORT && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == PORT && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == SUED && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == SUED && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == SUIS && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == SUIS && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == YOUG && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == YOUG && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == MAGH && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == TURQ && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == ARGE && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == BRES && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == CHIL && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == CHIL && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == COLO && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == AFSU && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == AFSU && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == MARO && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == ISRA && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == HONG && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == HONG && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == TCHE && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == TCHE && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == POLO && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == POLO && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == SLVQ && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == SLVQ && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == JAPO && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == TAIW && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == AUST && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == URUG && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == URUG && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == DAIB && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == DAIC && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == DAID && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == DAID && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == DAIF && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == DAIF && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == EUOR && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == EUOR && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == CETI && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == FRAN && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == FRAN && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == DOTO && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == DOTO && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == ALLE && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == ALLE && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == AUTR && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == AUTR && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == BELG && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == BELG && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == DANE && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == DANE && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == ESPA && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == ESPA && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == FINL && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == FINL && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == GRBR && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == GRBR && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == GREC && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == GREC && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == HOLL && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == HOLL && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == IRLA && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == IRLA && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == ISLA && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == ITAL && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == ITAL && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == NORV && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == PORT && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == PORT && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == SUED && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == SUED && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == SUIS && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == SUIS && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == YOUG && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == YOUG && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == MAGH && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == TURQ && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == ARGE && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == BRES && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == CHIL && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == CHIL && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == COLO && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == AFSU && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == AFSU && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == MARO && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == ISRA && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == HONG && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == HONG && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == TCHE && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == TCHE && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == POLO && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == POLO && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == SLVQ && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == SLVQ && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == JAPO && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == TAIW && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == AUST && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == URUG && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == URUG && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == DAIB && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == DAIC && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == DAID && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == DAID && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == DAIF && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == DAIF && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == EUOR && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == EUOR && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == CETI && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == FRAN && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == FRAN && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == DOTO && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == DOTO && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == ALLE && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == ALLE && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == AUTR && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == AUTR && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == BELG && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == BELG && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == DANE && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == DANE && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == ESPA && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == ESPA && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == FINL && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == FINL && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == GRBR && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == GRBR && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == GREC && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == GREC && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == HOLL && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == HOLL && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == IRLA && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == IRLA && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == ISLA && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == ITAL && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == ITAL && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == NORV && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == PORT && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == PORT && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == SUED && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == SUED && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == SUIS && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == SUIS && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == YOUG && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == YOUG && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == MAGH && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == TURQ && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == ARGE && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == BRES && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == CHIL && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == CHIL && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == COLO && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == AFSU && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == AFSU && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == MARO && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == ISRA && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == HONG && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == HONG && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == TCHE && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == TCHE && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == POLO && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == POLO && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == SLVQ && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == SLVQ && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == JAPO && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == TAIW && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == AUST && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == URUG && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == URUG && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == DAIB && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == DAIC && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == DAID && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == DAID && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == DAIF && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == DAIF && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == EUOR && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == EUOR && var22 == REGSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == CETI && var22 == SSRSIT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) ) ); ( ( ( var1 == S64 && var2 == E1 && var5 == FRAN && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == FRAN && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DOTO && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DOTO && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ALLE && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ALLE && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == AUTR && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == AUTR && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == BELG && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == BELG && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DANE && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DANE && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ESPA && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ESPA && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == FINL && var60 == LAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == FINL && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == GRBR && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == GRBR && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == GREC && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == GREC && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == HOLL && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == HOLL && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == IRLA && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == IRLA && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ISLA && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ISLA && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ITAL && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ITAL && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == NORV && var60 == LAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == NORV && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == PORT && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == PORT && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == SUED && var60 == LAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == SUED && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == SUIS && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == SUIS && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == YOUG && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == YOUG && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == MAGH && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == MAGH && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == TURQ && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == TURQ && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ARGE && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ARGE && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == BRES && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == BRES && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == CHIL && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == CHIL && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == COLO && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == COLO && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == AFSU && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == AFSU && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == MARO && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == MARO && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ISRA && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ISRA && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == HONG && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == HONG && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == TCHE && var60 == LAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == TCHE && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == POLO && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == POLO && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == SLVQ && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == SLVQ && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == JAPO && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == JAPO && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == TAIW && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == TAIW && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == AUST && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == AUST && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == URUG && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == URUG && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DAIB && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DAIB && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DAIC && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DAIC && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DAID && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DAID && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DAIF && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DAIF && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == EUOR && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == EUOR && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == CETI && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == CETI && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == FRAN && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == FRAN && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == FRAN && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DOTO && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DOTO && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DOTO && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ALLE && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ALLE && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ALLE && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == AUTR && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == AUTR && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == AUTR && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == BELG && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == BELG && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == BELG && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DANE && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DANE && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DANE && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ESPA && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ESPA && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ESPA && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == FINL && var60 == LAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == FINL && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == GRBR && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == GRBR && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == GRBR && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == GREC && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == GREC && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == GREC && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == HOLL && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == HOLL && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == HOLL && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == IRLA && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == IRLA && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == IRLA && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ISLA && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ISLA && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ISLA && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ITAL && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ITAL && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ITAL && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == NORV && var60 == LAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == NORV && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == PORT && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == PORT && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == PORT && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == SUED && var60 == LAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == SUED && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == SUIS && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == SUIS && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == SUIS && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == YOUG && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == YOUG && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == YOUG && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == MAGH && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == MAGH && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == MAGH && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == TURQ && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == TURQ && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == TURQ && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ARGE && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ARGE && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ARGE && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == BRES && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == BRES && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == BRES && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == CHIL && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == CHIL && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == CHIL && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == COLO && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == COLO && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == COLO && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == AFSU && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == AFSU && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == AFSU && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == MARO && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == MARO && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == MARO && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ISRA && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ISRA && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ISRA && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == HONG && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == HONG && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == HONG && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == TCHE && var60 == LAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == TCHE && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == POLO && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == POLO && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == POLO && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == SLVQ && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == SLVQ && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == SLVQ && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == JAPO && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == JAPO && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == JAPO && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == TAIW && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == TAIW && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == TAIW && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == AUST && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == AUST && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == AUST && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == URUG && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == URUG && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == URUG && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DAIB && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DAIB && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DAIB && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DAIC && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DAIC && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DAIC && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DAID && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DAID && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DAID && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DAIF && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DAIF && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DAIF && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == EUOR && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == EUOR && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == EUOR && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == CETI && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == CETI && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == CETI && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == FRAN && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == FRAN && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == FRAN && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DOTO && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DOTO && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DOTO && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ALLE && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ALLE && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ALLE && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == AUTR && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == AUTR && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == AUTR && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == BELG && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == BELG && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == BELG && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DANE && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DANE && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DANE && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ESPA && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ESPA && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ESPA && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == FINL && var60 == LAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == FINL && var60 == LAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == FINL && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == GRBR && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == GRBR && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == GRBR && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == GREC && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == GREC && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == GREC && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == HOLL && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == HOLL && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == HOLL && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == IRLA && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == IRLA && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == IRLA && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ISLA && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ISLA && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ISLA && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ITAL && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ITAL && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ITAL && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == NORV && var60 == LAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == NORV && var60 == LAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == NORV && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == PORT && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == PORT && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == PORT && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == SUED && var60 == LAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == SUED && var60 == LAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == SUED && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == SUIS && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == SUIS && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == SUIS && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == YOUG && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == YOUG && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == YOUG && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == MAGH && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == MAGH && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == MAGH && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == TURQ && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == TURQ && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == TURQ && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ARGE && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ARGE && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ARGE && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == BRES && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == BRES && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == BRES && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == CHIL && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == CHIL && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == CHIL && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == COLO && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == COLO && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == COLO && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == AFSU && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == AFSU && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == AFSU && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == MARO && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == MARO && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == MARO && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ISRA && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ISRA && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ISRA && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == HONG && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == HONG && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == HONG && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == TCHE && var60 == LAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == TCHE && var60 == LAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == TCHE && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == POLO && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == POLO && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == POLO && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == SLVQ && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == SLVQ && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == SLVQ && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == JAPO && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == JAPO && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == JAPO && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == TAIW && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == TAIW && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == TAIW && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == AUST && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == AUST && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == AUST && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == URUG && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == URUG && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == URUG && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAIB && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAIB && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAIB && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAIC && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAIC && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAIC && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAID && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAID && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAID && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAIF && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAIF && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAIF && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == EUOR && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == EUOR && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == EUOR && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == CETI && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == CETI && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == CETI && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == FRAN && var60 == SLAVPH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == FRAN && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == FRAN && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == FRAN && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == FRAN && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == FRAN && var60 == SLAVPH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == FRAN && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == FRAN && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == FRAN && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DOTO && var60 == SLAVPH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DOTO && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DOTO && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DOTO && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == DOTO && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DOTO && var60 == SLAVPH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DOTO && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DOTO && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DOTO && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ALLE && var60 == SLAVPH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ALLE && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ALLE && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ALLE && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == ALLE && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ALLE && var60 == SLAVPH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ALLE && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ALLE && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ALLE && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AUTR && var60 == SLAVPH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AUTR && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == AUTR && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AUTR && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == AUTR && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AUTR && var60 == SLAVPH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AUTR && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == AUTR && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AUTR && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == BELG && var60 == SLAVPH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == BELG && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == BELG && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == BELG && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == BELG && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == BELG && var60 == SLAVPH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == BELG && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == BELG && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == BELG && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DANE && var60 == SLAVPH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DANE && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DANE && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DANE && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == DANE && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DANE && var60 == SLAVPH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DANE && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DANE && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DANE && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ESPA && var60 == SLAVPH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ESPA && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ESPA && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ESPA && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == ESPA && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ESPA && var60 == SLAVPH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ESPA && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ESPA && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ESPA && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == FINL && var60 == LAVPH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == FINL && var60 == LAVPH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == FINL && var60 == LAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == FINL && var60 == LAVPH && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == FINL && var60 == LAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == FINL && var60 == LAVPH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == FINL && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == FINL && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GRBR && var60 == SLAVPH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GRBR && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == GRBR && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GRBR && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == GRBR && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GRBR && var60 == SLAVPH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GRBR && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == GRBR && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GRBR && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GREC && var60 == SLAVPH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GREC && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == GREC && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GREC && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == GREC && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GREC && var60 == SLAVPH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GREC && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == GREC && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GREC && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HOLL && var60 == SLAVPH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HOLL && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == HOLL && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HOLL && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == HOLL && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HOLL && var60 == SLAVPH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HOLL && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == HOLL && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HOLL && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == IRLA && var60 == SLAVPH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == IRLA && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == IRLA && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == IRLA && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == IRLA && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == IRLA && var60 == SLAVPH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == IRLA && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == IRLA && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == IRLA && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ISLA && var60 == SLAVPH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ISLA && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ISLA && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ISLA && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == ISLA && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ISLA && var60 == SLAVPH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ISLA && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ISLA && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ISLA && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ITAL && var60 == SLAVPH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ITAL && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ITAL && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ITAL && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == ITAL && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ITAL && var60 == SLAVPH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ITAL && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ITAL && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ITAL && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == NORV && var60 == LAVPH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == NORV && var60 == LAVPH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == NORV && var60 == LAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == NORV && var60 == LAVPH && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == NORV && var60 == LAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == NORV && var60 == LAVPH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == NORV && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == NORV && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == PORT && var60 == SLAVPH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == PORT && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == PORT && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == PORT && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == PORT && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == PORT && var60 == SLAVPH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == PORT && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == PORT && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == PORT && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SUED && var60 == LAVPH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SUED && var60 == LAVPH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == SUED && var60 == LAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SUED && var60 == LAVPH && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == SUED && var60 == LAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SUED && var60 == LAVPH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SUED && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == SUED && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SUIS && var60 == SLAVPH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SUIS && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == SUIS && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SUIS && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == SUIS && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SUIS && var60 == SLAVPH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SUIS && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == SUIS && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SUIS && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == YOUG && var60 == SLAVPH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == YOUG && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == YOUG && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == YOUG && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == YOUG && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == YOUG && var60 == SLAVPH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == YOUG && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == YOUG && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == YOUG && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == MAGH && var60 == SLAVPH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == MAGH && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == MAGH && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == MAGH && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == MAGH && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == MAGH && var60 == SLAVPH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == MAGH && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == MAGH && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == MAGH && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TURQ && var60 == SLAVPH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TURQ && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == TURQ && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TURQ && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == TURQ && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TURQ && var60 == SLAVPH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TURQ && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == TURQ && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TURQ && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ARGE && var60 == SLAVPH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ARGE && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ARGE && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ARGE && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == ARGE && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ARGE && var60 == SLAVPH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ARGE && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ARGE && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ARGE && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == BRES && var60 == SLAVPH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == BRES && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == BRES && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == BRES && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == BRES && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == BRES && var60 == SLAVPH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == BRES && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == BRES && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == BRES && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == CHIL && var60 == SLAVPH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == CHIL && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == CHIL && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == CHIL && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == CHIL && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == CHIL && var60 == SLAVPH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == CHIL && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == CHIL && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == CHIL && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == COLO && var60 == SLAVPH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == COLO && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == COLO && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == COLO && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == COLO && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == COLO && var60 == SLAVPH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == COLO && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == COLO && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == COLO && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AFSU && var60 == SLAVPH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AFSU && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == AFSU && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AFSU && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == AFSU && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AFSU && var60 == SLAVPH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AFSU && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == AFSU && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AFSU && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == MARO && var60 == SLAVPH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == MARO && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == MARO && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == MARO && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == MARO && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == MARO && var60 == SLAVPH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == MARO && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == MARO && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == MARO && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ISRA && var60 == SLAVPH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ISRA && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ISRA && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ISRA && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == ISRA && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ISRA && var60 == SLAVPH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ISRA && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ISRA && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ISRA && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HONG && var60 == SLAVPH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HONG && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == HONG && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HONG && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == HONG && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HONG && var60 == SLAVPH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HONG && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == HONG && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HONG && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TCHE && var60 == LAVPH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TCHE && var60 == LAVPH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == TCHE && var60 == LAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TCHE && var60 == LAVPH && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == TCHE && var60 == LAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TCHE && var60 == LAVPH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TCHE && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == TCHE && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == POLO && var60 == SLAVPH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == POLO && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == POLO && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == POLO && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == POLO && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == POLO && var60 == SLAVPH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == POLO && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == POLO && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == POLO && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SLVQ && var60 == SLAVPH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SLVQ && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == SLVQ && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SLVQ && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == SLVQ && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SLVQ && var60 == SLAVPH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SLVQ && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == SLVQ && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SLVQ && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == JAPO && var60 == SLAVPH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == JAPO && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == JAPO && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == JAPO && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == JAPO && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == JAPO && var60 == SLAVPH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == JAPO && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == JAPO && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == JAPO && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TAIW && var60 == SLAVPH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TAIW && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == TAIW && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TAIW && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == TAIW && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TAIW && var60 == SLAVPH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TAIW && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == TAIW && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TAIW && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AUST && var60 == SLAVPH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AUST && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == AUST && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AUST && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == AUST && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AUST && var60 == SLAVPH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AUST && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == AUST && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AUST && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == URUG && var60 == SLAVPH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == URUG && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == URUG && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == URUG && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == URUG && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == URUG && var60 == SLAVPH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == URUG && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == URUG && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == URUG && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIB && var60 == SLAVPH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIB && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DAIB && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIB && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == DAIB && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIB && var60 == SLAVPH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIB && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DAIB && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIB && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIC && var60 == SLAVPH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIC && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DAIC && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIC && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == DAIC && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIC && var60 == SLAVPH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIC && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DAIC && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIC && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAID && var60 == SLAVPH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAID && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DAID && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAID && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == DAID && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAID && var60 == SLAVPH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAID && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DAID && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAID && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIF && var60 == SLAVPH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIF && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DAIF && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIF && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == DAIF && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIF && var60 == SLAVPH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIF && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DAIF && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIF && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == EUOR && var60 == SLAVPH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == EUOR && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == EUOR && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == EUOR && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == EUOR && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == EUOR && var60 == SLAVPH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == EUOR && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == EUOR && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == EUOR && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == CETI && var60 == SLAVPH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == CETI && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == CETI && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == CETI && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == CETI && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == CETI && var60 == SLAVPH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == CETI && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == CETI && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == CETI && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == FRAN && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == FRAN && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == FRAN && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DOTO && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DOTO && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DOTO && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ALLE && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ALLE && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ALLE && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == AUTR && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == AUTR && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == AUTR && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == BELG && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == BELG && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == BELG && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DANE && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DANE && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DANE && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ESPA && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ESPA && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ESPA && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == FINL && var60 == LAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == FINL && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == GRBR && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == GRBR && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == GRBR && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == GREC && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == GREC && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == GREC && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == HOLL && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == HOLL && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == HOLL && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == IRLA && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == IRLA && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == IRLA && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ISLA && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ISLA && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ISLA && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ITAL && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ITAL && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ITAL && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == NORV && var60 == LAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == NORV && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == PORT && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == PORT && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == PORT && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == SUED && var60 == LAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == SUED && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == SUIS && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == SUIS && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == SUIS && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == YOUG && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == YOUG && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == YOUG && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == MAGH && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == MAGH && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == MAGH && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == TURQ && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == TURQ && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == TURQ && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ARGE && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ARGE && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ARGE && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == BRES && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == BRES && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == BRES && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == CHIL && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == CHIL && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == CHIL && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == COLO && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == COLO && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == COLO && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == AFSU && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == AFSU && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == AFSU && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == MARO && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == MARO && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == MARO && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ISRA && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ISRA && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ISRA && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == HONG && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == HONG && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == HONG && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == TCHE && var60 == LAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == TCHE && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == POLO && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == POLO && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == POLO && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == SLVQ && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == SLVQ && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == SLVQ && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == JAPO && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == JAPO && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == JAPO && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == TAIW && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == TAIW && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == TAIW && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == AUST && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == AUST && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == AUST && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == URUG && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == URUG && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == URUG && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DAIB && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DAIB && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DAIB && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DAIC && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DAIC && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DAIC && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DAID && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DAID && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DAID && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DAIF && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DAIF && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DAIF && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == EUOR && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == EUOR && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == EUOR && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == CETI && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == CETI && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == CETI && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == FRAN && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == FRAN && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == DOTO && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == DOTO && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == ALLE && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == ALLE && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == AUTR && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == AUTR && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == BELG && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == BELG && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == DANE && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == DANE && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == ESPA && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == ESPA && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == FINL && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == GRBR && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == GRBR && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == GREC && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == GREC && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == HOLL && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == HOLL && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == IRLA && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == IRLA && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == ISLA && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == ISLA && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == ITAL && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == ITAL && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == NORV && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == PORT && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == PORT && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == SUED && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == SUIS && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == SUIS && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == YOUG && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == YOUG && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == MAGH && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == MAGH && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == TURQ && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == TURQ && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == ARGE && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == ARGE && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == BRES && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == BRES && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == CHIL && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == CHIL && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == COLO && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == COLO && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == AFSU && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == AFSU && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == MARO && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == MARO && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == ISRA && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == ISRA && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == HONG && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == HONG && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == TCHE && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == POLO && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == POLO && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == SLVQ && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == SLVQ && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == JAPO && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == JAPO && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == TAIW && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == TAIW && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == AUST && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == AUST && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == URUG && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == URUG && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == DAIB && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == DAIB && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == DAIC && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == DAIC && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == DAID && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == DAID && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == DAIF && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == DAIF && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == EUOR && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == EUOR && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == CETI && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == CETI && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == FRAN && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == FRAN && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == DOTO && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == DOTO && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == ALLE && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == ALLE && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == AUTR && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == AUTR && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == BELG && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == BELG && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == DANE && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == DANE && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == ESPA && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == ESPA && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == FINL && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == GRBR && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == GRBR && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == GREC && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == GREC && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == HOLL && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == HOLL && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == IRLA && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == IRLA && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == ISLA && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == ISLA && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == ITAL && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == ITAL && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == NORV && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == PORT && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == PORT && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == SUED && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == SUIS && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == SUIS && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == YOUG && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == YOUG && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == MAGH && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == MAGH && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == TURQ && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == TURQ && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == ARGE && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == ARGE && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == BRES && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == BRES && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == CHIL && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == CHIL && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == COLO && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == COLO && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == AFSU && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == AFSU && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == MARO && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == MARO && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == ISRA && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == ISRA && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == HONG && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == HONG && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == TCHE && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == POLO && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == POLO && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == SLVQ && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == SLVQ && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == JAPO && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == JAPO && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == TAIW && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == TAIW && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == AUST && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == AUST && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == URUG && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == URUG && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == DAIB && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == DAIB && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == DAIC && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == DAIC && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == DAID && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == DAID && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == DAIF && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == DAIF && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == EUOR && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == EUOR && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == CETI && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == CETI && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == FRAN && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == FRAN && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == FRAN && var60 == LAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == FRAN && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DOTO && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DOTO && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DOTO && var60 == LAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DOTO && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ALLE && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ALLE && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ALLE && var60 == LAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ALLE && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == AUTR && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == AUTR && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == AUTR && var60 == LAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == AUTR && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == BELG && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == BELG && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == BELG && var60 == LAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == BELG && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DANE && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DANE && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DANE && var60 == LAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DANE && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ESPA && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ESPA && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ESPA && var60 == LAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ESPA && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == FINL && var60 == LAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == FINL && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == GRBR && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == GRBR && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == GRBR && var60 == LAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == GRBR && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == GREC && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == GREC && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == GREC && var60 == LAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == GREC && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == HOLL && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == HOLL && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == HOLL && var60 == LAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == HOLL && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == IRLA && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == IRLA && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == IRLA && var60 == LAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == IRLA && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ISLA && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ISLA && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ISLA && var60 == LAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ISLA && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ITAL && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ITAL && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ITAL && var60 == LAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ITAL && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == NORV && var60 == LAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == NORV && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == PORT && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == PORT && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == PORT && var60 == LAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == PORT && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == SUED && var60 == LAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == SUED && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == SUIS && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == SUIS && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == SUIS && var60 == LAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == SUIS && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == YOUG && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == YOUG && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == YOUG && var60 == LAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == YOUG && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == MAGH && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == MAGH && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == MAGH && var60 == LAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == MAGH && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == TURQ && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == TURQ && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == TURQ && var60 == LAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == TURQ && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ARGE && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ARGE && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ARGE && var60 == LAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ARGE && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == BRES && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == BRES && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == BRES && var60 == LAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == BRES && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == CHIL && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == CHIL && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == CHIL && var60 == LAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == CHIL && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == COLO && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == COLO && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == COLO && var60 == LAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == COLO && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == AFSU && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == AFSU && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == AFSU && var60 == LAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == AFSU && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == MARO && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == MARO && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == MARO && var60 == LAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == MARO && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ISRA && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ISRA && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ISRA && var60 == LAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ISRA && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == HONG && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == HONG && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == HONG && var60 == LAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == HONG && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == TCHE && var60 == LAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == TCHE && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == POLO && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == POLO && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == POLO && var60 == LAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == POLO && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == SLVQ && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == SLVQ && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == SLVQ && var60 == LAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == SLVQ && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == JAPO && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == JAPO && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == JAPO && var60 == LAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == JAPO && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == TAIW && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == TAIW && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == TAIW && var60 == LAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == TAIW && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == AUST && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == AUST && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == AUST && var60 == LAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == AUST && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == URUG && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == URUG && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == URUG && var60 == LAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == URUG && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DAIB && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DAIB && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DAIB && var60 == LAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DAIB && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DAIC && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DAIC && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DAIC && var60 == LAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DAIC && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DAID && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DAID && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DAID && var60 == LAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DAID && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DAIF && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DAIF && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DAIF && var60 == LAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DAIF && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == EUOR && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == EUOR && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == EUOR && var60 == LAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == EUOR && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == CETI && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == CETI && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == CETI && var60 == LAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == CETI && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == FRAN && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == FRAN && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == FRAN && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == FRAN && var60 == LAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == FRAN && var60 == LAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == FRAN && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DOTO && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DOTO && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DOTO && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DOTO && var60 == LAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DOTO && var60 == LAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DOTO && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ALLE && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ALLE && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ALLE && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ALLE && var60 == LAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ALLE && var60 == LAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ALLE && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == AUTR && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == AUTR && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == AUTR && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == AUTR && var60 == LAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == AUTR && var60 == LAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == AUTR && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == BELG && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == BELG && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == BELG && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == BELG && var60 == LAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == BELG && var60 == LAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == BELG && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DANE && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DANE && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DANE && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DANE && var60 == LAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DANE && var60 == LAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DANE && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ESPA && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ESPA && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ESPA && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ESPA && var60 == LAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ESPA && var60 == LAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ESPA && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == FINL && var60 == LAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == FINL && var60 == LAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == FINL && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == GRBR && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == GRBR && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == GRBR && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == GRBR && var60 == LAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == GRBR && var60 == LAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == GRBR && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == GREC && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == GREC && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == GREC && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == GREC && var60 == LAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == GREC && var60 == LAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == GREC && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == HOLL && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == HOLL && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == HOLL && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == HOLL && var60 == LAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == HOLL && var60 == LAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == HOLL && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == IRLA && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == IRLA && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == IRLA && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == IRLA && var60 == LAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == IRLA && var60 == LAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == IRLA && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ISLA && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ISLA && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ISLA && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ISLA && var60 == LAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ISLA && var60 == LAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ISLA && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ITAL && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ITAL && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ITAL && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ITAL && var60 == LAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ITAL && var60 == LAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ITAL && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == NORV && var60 == LAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == NORV && var60 == LAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == NORV && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == PORT && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == PORT && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == PORT && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == PORT && var60 == LAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == PORT && var60 == LAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == PORT && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == SUED && var60 == LAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == SUED && var60 == LAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == SUED && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == SUIS && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == SUIS && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == SUIS && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == SUIS && var60 == LAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == SUIS && var60 == LAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == SUIS && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == YOUG && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == YOUG && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == YOUG && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == YOUG && var60 == LAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == YOUG && var60 == LAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == YOUG && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == MAGH && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == MAGH && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == MAGH && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == MAGH && var60 == LAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == MAGH && var60 == LAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == MAGH && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == TURQ && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == TURQ && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == TURQ && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == TURQ && var60 == LAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == TURQ && var60 == LAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == TURQ && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ARGE && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ARGE && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ARGE && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ARGE && var60 == LAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ARGE && var60 == LAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ARGE && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == BRES && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == BRES && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == BRES && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == BRES && var60 == LAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == BRES && var60 == LAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == BRES && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == CHIL && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == CHIL && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == CHIL && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == CHIL && var60 == LAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == CHIL && var60 == LAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == CHIL && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == COLO && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == COLO && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == COLO && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == COLO && var60 == LAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == COLO && var60 == LAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == COLO && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == AFSU && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == AFSU && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == AFSU && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == AFSU && var60 == LAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == AFSU && var60 == LAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == AFSU && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == MARO && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == MARO && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == MARO && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == MARO && var60 == LAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == MARO && var60 == LAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == MARO && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ISRA && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ISRA && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ISRA && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ISRA && var60 == LAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ISRA && var60 == LAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ISRA && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == HONG && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == HONG && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == HONG && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == HONG && var60 == LAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == HONG && var60 == LAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == HONG && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == TCHE && var60 == LAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == TCHE && var60 == LAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == TCHE && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == POLO && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == POLO && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == POLO && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == POLO && var60 == LAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == POLO && var60 == LAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == POLO && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == SLVQ && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == SLVQ && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == SLVQ && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == SLVQ && var60 == LAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == SLVQ && var60 == LAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == SLVQ && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == JAPO && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == JAPO && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == JAPO && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == JAPO && var60 == LAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == JAPO && var60 == LAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == JAPO && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == TAIW && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == TAIW && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == TAIW && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == TAIW && var60 == LAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == TAIW && var60 == LAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == TAIW && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == AUST && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == AUST && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == AUST && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == AUST && var60 == LAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == AUST && var60 == LAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == AUST && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == URUG && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == URUG && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == URUG && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == URUG && var60 == LAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == URUG && var60 == LAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == URUG && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DAIB && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DAIB && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DAIB && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DAIB && var60 == LAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DAIB && var60 == LAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DAIB && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DAIC && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DAIC && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DAIC && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DAIC && var60 == LAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DAIC && var60 == LAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DAIC && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DAID && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DAID && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DAID && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DAID && var60 == LAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DAID && var60 == LAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DAID && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DAIF && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DAIF && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DAIF && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DAIF && var60 == LAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DAIF && var60 == LAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DAIF && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == EUOR && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == EUOR && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == EUOR && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == EUOR && var60 == LAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == EUOR && var60 == LAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == EUOR && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == CETI && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == CETI && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == CETI && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == CETI && var60 == LAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == CETI && var60 == LAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == CETI && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FRAN && var60 == SLAVPH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FRAN && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FRAN && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FRAN && var60 == SLAVPH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FRAN && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FRAN && var60 == LAVPH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FRAN && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DOTO && var60 == SLAVPH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DOTO && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DOTO && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DOTO && var60 == SLAVPH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DOTO && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DOTO && var60 == LAVPH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DOTO && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ALLE && var60 == SLAVPH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ALLE && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ALLE && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ALLE && var60 == SLAVPH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ALLE && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ALLE && var60 == LAVPH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ALLE && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AUTR && var60 == SLAVPH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AUTR && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AUTR && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AUTR && var60 == SLAVPH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AUTR && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AUTR && var60 == LAVPH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AUTR && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == BELG && var60 == SLAVPH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == BELG && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == BELG && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == BELG && var60 == SLAVPH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == BELG && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == BELG && var60 == LAVPH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == BELG && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DANE && var60 == SLAVPH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DANE && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DANE && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DANE && var60 == SLAVPH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DANE && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DANE && var60 == LAVPH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DANE && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ESPA && var60 == SLAVPH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ESPA && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ESPA && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ESPA && var60 == SLAVPH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ESPA && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ESPA && var60 == LAVPH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ESPA && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FINL && var60 == SLAVPH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FINL && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FINL && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FINL && var60 == LAVPH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FINL && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == GRBR && var60 == SLAVPH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == GRBR && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == GRBR && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == GRBR && var60 == SLAVPH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == GRBR && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == GRBR && var60 == LAVPH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == GRBR && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == GREC && var60 == SLAVPH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == GREC && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == GREC && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == GREC && var60 == SLAVPH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == GREC && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == GREC && var60 == LAVPH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == GREC && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == HOLL && var60 == SLAVPH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == HOLL && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == HOLL && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == HOLL && var60 == SLAVPH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == HOLL && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == HOLL && var60 == LAVPH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == HOLL && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == IRLA && var60 == SLAVPH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == IRLA && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == IRLA && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == IRLA && var60 == SLAVPH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == IRLA && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == IRLA && var60 == LAVPH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == IRLA && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ISLA && var60 == SLAVPH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ISLA && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ISLA && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ISLA && var60 == SLAVPH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ISLA && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ISLA && var60 == LAVPH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ISLA && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ITAL && var60 == SLAVPH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ITAL && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ITAL && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ITAL && var60 == SLAVPH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ITAL && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ITAL && var60 == LAVPH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ITAL && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == NORV && var60 == SLAVPH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == NORV && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == NORV && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == NORV && var60 == LAVPH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == NORV && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == PORT && var60 == SLAVPH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == PORT && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == PORT && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == PORT && var60 == SLAVPH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == PORT && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == PORT && var60 == LAVPH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == PORT && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SUED && var60 == SLAVPH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SUED && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SUED && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SUED && var60 == LAVPH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SUED && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SUIS && var60 == SLAVPH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SUIS && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SUIS && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SUIS && var60 == SLAVPH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SUIS && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SUIS && var60 == LAVPH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SUIS && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == YOUG && var60 == SLAVPH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == YOUG && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == YOUG && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == YOUG && var60 == SLAVPH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == YOUG && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == YOUG && var60 == LAVPH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == YOUG && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == MAGH && var60 == SLAVPH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == MAGH && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == MAGH && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == MAGH && var60 == SLAVPH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == MAGH && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == MAGH && var60 == LAVPH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == MAGH && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TURQ && var60 == SLAVPH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TURQ && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TURQ && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TURQ && var60 == SLAVPH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TURQ && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TURQ && var60 == LAVPH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TURQ && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ARGE && var60 == SLAVPH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ARGE && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ARGE && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ARGE && var60 == SLAVPH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ARGE && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ARGE && var60 == LAVPH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ARGE && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == BRES && var60 == SLAVPH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == BRES && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == BRES && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == BRES && var60 == SLAVPH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == BRES && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == BRES && var60 == LAVPH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == BRES && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == CHIL && var60 == SLAVPH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == CHIL && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == CHIL && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == CHIL && var60 == SLAVPH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == CHIL && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == CHIL && var60 == LAVPH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == CHIL && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == COLO && var60 == SLAVPH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == COLO && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == COLO && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == COLO && var60 == SLAVPH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == COLO && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == COLO && var60 == LAVPH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == COLO && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AFSU && var60 == SLAVPH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AFSU && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AFSU && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AFSU && var60 == SLAVPH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AFSU && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AFSU && var60 == LAVPH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AFSU && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == MARO && var60 == SLAVPH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == MARO && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == MARO && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == MARO && var60 == SLAVPH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == MARO && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == MARO && var60 == LAVPH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == MARO && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ISRA && var60 == SLAVPH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ISRA && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ISRA && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ISRA && var60 == SLAVPH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ISRA && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ISRA && var60 == LAVPH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ISRA && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == HONG && var60 == SLAVPH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == HONG && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == HONG && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == HONG && var60 == SLAVPH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == HONG && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == HONG && var60 == LAVPH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == HONG && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TCHE && var60 == SLAVPH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TCHE && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TCHE && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TCHE && var60 == LAVPH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TCHE && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == POLO && var60 == SLAVPH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == POLO && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == POLO && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == POLO && var60 == SLAVPH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == POLO && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == POLO && var60 == LAVPH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == POLO && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SLVQ && var60 == SLAVPH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SLVQ && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SLVQ && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SLVQ && var60 == SLAVPH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SLVQ && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SLVQ && var60 == LAVPH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SLVQ && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == JAPO && var60 == SLAVPH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == JAPO && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == JAPO && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == JAPO && var60 == SLAVPH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == JAPO && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == JAPO && var60 == LAVPH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == JAPO && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TAIW && var60 == SLAVPH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TAIW && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TAIW && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TAIW && var60 == SLAVPH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TAIW && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TAIW && var60 == LAVPH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TAIW && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AUST && var60 == SLAVPH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AUST && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AUST && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AUST && var60 == SLAVPH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AUST && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AUST && var60 == LAVPH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AUST && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == URUG && var60 == SLAVPH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == URUG && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == URUG && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == URUG && var60 == SLAVPH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == URUG && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == URUG && var60 == LAVPH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == URUG && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIB && var60 == SLAVPH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIB && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIB && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIB && var60 == SLAVPH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIB && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIB && var60 == LAVPH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIB && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIC && var60 == SLAVPH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIC && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIC && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIC && var60 == SLAVPH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIC && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIC && var60 == LAVPH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIC && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAID && var60 == SLAVPH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAID && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAID && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAID && var60 == SLAVPH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAID && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAID && var60 == LAVPH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAID && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIF && var60 == SLAVPH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIF && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIF && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIF && var60 == SLAVPH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIF && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIF && var60 == LAVPH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIF && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == EUOR && var60 == SLAVPH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == EUOR && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == EUOR && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == EUOR && var60 == SLAVPH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == EUOR && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == EUOR && var60 == LAVPH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == EUOR && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == CETI && var60 == SLAVPH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == CETI && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == CETI && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == CETI && var60 == SLAVPH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == CETI && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == CETI && var60 == LAVPH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == CETI && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == FRAN && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == FRAN && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == FRAN && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DOTO && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DOTO && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DOTO && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ALLE && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ALLE && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ALLE && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == AUTR && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == AUTR && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == AUTR && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == BELG && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == BELG && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == BELG && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DANE && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DANE && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DANE && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ESPA && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ESPA && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ESPA && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == FINL && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == FINL && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == GRBR && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == GRBR && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == GRBR && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == GREC && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == GREC && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == GREC && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == HOLL && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == HOLL && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == HOLL && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == IRLA && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == IRLA && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == IRLA && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ISLA && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ISLA && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ISLA && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ITAL && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ITAL && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ITAL && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == NORV && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == NORV && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == PORT && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == PORT && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == PORT && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == SUED && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == SUED && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == SUIS && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == SUIS && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == SUIS && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == YOUG && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == YOUG && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == YOUG && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == MAGH && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == MAGH && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == MAGH && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == TURQ && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == TURQ && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == TURQ && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ARGE && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ARGE && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ARGE && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == BRES && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == BRES && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == BRES && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == CHIL && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == CHIL && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == CHIL && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == COLO && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == COLO && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == COLO && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == AFSU && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == AFSU && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == AFSU && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == MARO && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == MARO && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == MARO && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ISRA && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ISRA && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ISRA && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == HONG && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == HONG && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == HONG && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == TCHE && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == TCHE && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == POLO && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == POLO && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == POLO && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == SLVQ && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == SLVQ && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == SLVQ && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == JAPO && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == JAPO && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == JAPO && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == TAIW && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == TAIW && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == TAIW && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == AUST && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == AUST && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == AUST && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == URUG && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == URUG && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == URUG && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DAIB && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DAIB && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DAIB && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DAIC && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DAIC && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DAIC && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DAID && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DAID && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DAID && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DAIF && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DAIF && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DAIF && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == EUOR && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == EUOR && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == EUOR && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == CETI && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == CETI && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == CETI && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == FRAN && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == FRAN && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == FRAN && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DOTO && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DOTO && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DOTO && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ALLE && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ALLE && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ALLE && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == AUTR && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == AUTR && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == AUTR && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == BELG && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == BELG && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == BELG && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DANE && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DANE && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DANE && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ESPA && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ESPA && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ESPA && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == FINL && var60 == LAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == FINL && var60 == LAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == FINL && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == GRBR && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == GRBR && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == GRBR && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == GREC && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == GREC && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == GREC && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == HOLL && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == HOLL && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == HOLL && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == IRLA && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == IRLA && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == IRLA && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ISLA && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ISLA && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ISLA && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ITAL && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ITAL && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ITAL && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == NORV && var60 == LAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == NORV && var60 == LAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == NORV && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == PORT && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == PORT && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == PORT && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == SUED && var60 == LAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == SUED && var60 == LAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == SUED && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == SUIS && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == SUIS && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == SUIS && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == YOUG && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == YOUG && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == YOUG && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == MAGH && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == MAGH && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == MAGH && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == TURQ && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == TURQ && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == TURQ && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ARGE && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ARGE && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ARGE && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == BRES && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == BRES && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == BRES && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == CHIL && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == CHIL && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == CHIL && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == COLO && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == COLO && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == COLO && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == AFSU && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == AFSU && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == AFSU && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == MARO && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == MARO && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == MARO && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ISRA && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ISRA && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ISRA && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == HONG && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == HONG && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == HONG && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == TCHE && var60 == LAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == TCHE && var60 == LAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == TCHE && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == POLO && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == POLO && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == POLO && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == SLVQ && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == SLVQ && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == SLVQ && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == JAPO && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == JAPO && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == JAPO && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == TAIW && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == TAIW && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == TAIW && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == AUST && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == AUST && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == AUST && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == URUG && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == URUG && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == URUG && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAIB && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAIB && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAIB && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAIC && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAIC && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAIC && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAID && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAID && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAID && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAIF && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAIF && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAIF && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == EUOR && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == EUOR && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == EUOR && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == CETI && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == CETI && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == CETI && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == FRAN && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == FRAN && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == FRAN && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == FRAN && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == FRAN && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == FRAN && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == FRAN && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DOTO && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DOTO && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DOTO && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == DOTO && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DOTO && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DOTO && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DOTO && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ALLE && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ALLE && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ALLE && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == ALLE && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ALLE && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ALLE && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ALLE && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == AUTR && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == AUTR && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == AUTR && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == AUTR && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == AUTR && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == AUTR && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == AUTR && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == BELG && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == BELG && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == BELG && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == BELG && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == BELG && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == BELG && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == BELG && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DANE && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DANE && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DANE && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == DANE && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DANE && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DANE && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DANE && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ESPA && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ESPA && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ESPA && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == ESPA && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ESPA && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ESPA && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ESPA && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == FINL && var60 == LAVPH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == FINL && var60 == LAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == FINL && var60 == LAVPH && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == FINL && var60 == LAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == FINL && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == FINL && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == GRBR && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == GRBR && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == GRBR && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == GRBR && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == GRBR && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == GRBR && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == GRBR && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == GREC && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == GREC && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == GREC && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == GREC && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == GREC && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == GREC && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == GREC && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == HOLL && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == HOLL && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == HOLL && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == HOLL && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == HOLL && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == HOLL && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == HOLL && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == IRLA && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == IRLA && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == IRLA && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == IRLA && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == IRLA && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == IRLA && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == IRLA && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ISLA && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ISLA && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ISLA && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == ISLA && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ISLA && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ISLA && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ISLA && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ITAL && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ITAL && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ITAL && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == ITAL && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ITAL && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ITAL && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ITAL && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == NORV && var60 == LAVPH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == NORV && var60 == LAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == NORV && var60 == LAVPH && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == NORV && var60 == LAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == NORV && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == NORV && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == PORT && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == PORT && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == PORT && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == PORT && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == PORT && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == PORT && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == PORT && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == SUED && var60 == LAVPH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == SUED && var60 == LAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == SUED && var60 == LAVPH && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == SUED && var60 == LAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == SUED && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == SUED && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == SUIS && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == SUIS && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == SUIS && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == SUIS && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == SUIS && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == SUIS && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == SUIS && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == YOUG && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == YOUG && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == YOUG && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == YOUG && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == YOUG && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == YOUG && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == YOUG && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == MAGH && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == MAGH && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == MAGH && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == MAGH && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == MAGH && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == MAGH && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == MAGH && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == TURQ && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == TURQ && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == TURQ && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == TURQ && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == TURQ && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == TURQ && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == TURQ && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ARGE && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ARGE && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ARGE && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == ARGE && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ARGE && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ARGE && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ARGE && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == BRES && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == BRES && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == BRES && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == BRES && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == BRES && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == BRES && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == BRES && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == CHIL && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == CHIL && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == CHIL && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == CHIL && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == CHIL && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == CHIL && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == CHIL && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == COLO && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == COLO && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == COLO && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == COLO && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == COLO && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == COLO && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == COLO && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == AFSU && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == AFSU && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == AFSU && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == AFSU && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == AFSU && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == AFSU && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == AFSU && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == MARO && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == MARO && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == MARO && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == MARO && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == MARO && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == MARO && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == MARO && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ISRA && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ISRA && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ISRA && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == ISRA && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ISRA && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ISRA && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ISRA && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == HONG && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == HONG && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == HONG && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == HONG && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == HONG && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == HONG && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == HONG && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == TCHE && var60 == LAVPH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == TCHE && var60 == LAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == TCHE && var60 == LAVPH && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == TCHE && var60 == LAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == TCHE && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == TCHE && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == POLO && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == POLO && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == POLO && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == POLO && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == POLO && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == POLO && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == POLO && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == SLVQ && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == SLVQ && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == SLVQ && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == SLVQ && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == SLVQ && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == SLVQ && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == SLVQ && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == JAPO && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == JAPO && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == JAPO && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == JAPO && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == JAPO && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == JAPO && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == JAPO && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == TAIW && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == TAIW && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == TAIW && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == TAIW && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == TAIW && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == TAIW && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == TAIW && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == AUST && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == AUST && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == AUST && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == AUST && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == AUST && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == AUST && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == AUST && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == URUG && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == URUG && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == URUG && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == URUG && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == URUG && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == URUG && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == URUG && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAIB && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DAIB && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAIB && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == DAIB && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAIB && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DAIB && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAIB && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAIC && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DAIC && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAIC && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == DAIC && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAIC && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DAIC && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAIC && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAID && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DAID && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAID && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == DAID && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAID && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DAID && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAID && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAIF && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DAIF && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAIF && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == DAIF && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAIF && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DAIF && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAIF && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == EUOR && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == EUOR && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == EUOR && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == EUOR && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == EUOR && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == EUOR && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == EUOR && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == CETI && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == CETI && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == CETI && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == CETI && var60 == SLAVPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == CETI && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == CETI && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == CETI && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == FRAN && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == FRAN && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == FRAN && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == DOTO && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == DOTO && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == DOTO && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == ALLE && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == ALLE && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == ALLE && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == AUTR && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == AUTR && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == AUTR && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == BELG && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == BELG && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == BELG && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == DANE && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == DANE && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == DANE && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == ESPA && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == ESPA && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == ESPA && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == FINL && var60 == LAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == FINL && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == GRBR && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == GRBR && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == GRBR && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == GREC && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == GREC && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == GREC && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == HOLL && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == HOLL && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == HOLL && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == IRLA && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == IRLA && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == IRLA && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == ISLA && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == ISLA && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == ISLA && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == ITAL && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == ITAL && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == ITAL && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == NORV && var60 == LAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == NORV && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == PORT && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == PORT && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == PORT && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == SUED && var60 == LAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == SUED && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == SUIS && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == SUIS && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == SUIS && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == YOUG && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == YOUG && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == YOUG && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == MAGH && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == MAGH && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == MAGH && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == TURQ && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == TURQ && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == TURQ && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == ARGE && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == ARGE && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == ARGE && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == BRES && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == BRES && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == BRES && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == CHIL && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == CHIL && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == CHIL && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == COLO && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == COLO && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == COLO && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == AFSU && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == AFSU && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == AFSU && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == MARO && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == MARO && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == MARO && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == ISRA && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == ISRA && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == ISRA && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == HONG && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == HONG && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == HONG && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == TCHE && var60 == LAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == TCHE && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == POLO && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == POLO && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == POLO && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == SLVQ && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == SLVQ && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == SLVQ && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == JAPO && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == JAPO && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == JAPO && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == TAIW && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == TAIW && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == TAIW && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == AUST && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == AUST && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == AUST && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == URUG && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == URUG && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == URUG && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == DAIB && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == DAIB && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == DAIB && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == DAIC && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == DAIC && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == DAIC && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == DAID && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == DAID && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == DAID && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == DAIF && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == DAIF && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == DAIF && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == EUOR && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == EUOR && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == EUOR && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == CETI && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == CETI && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == CETI && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == FRAN && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == DOTO && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == ALLE && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == AUTR && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == BELG && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == DANE && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == ESPA && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == FINL && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == GRBR && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == GREC && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == HOLL && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == IRLA && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == ISLA && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == ITAL && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == NORV && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == PORT && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == SUED && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == SUIS && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == YOUG && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == MAGH && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == TURQ && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == ARGE && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == BRES && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == CHIL && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == COLO && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == AFSU && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == MARO && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == ISRA && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == HONG && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == TCHE && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == POLO && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == SLVQ && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == JAPO && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == TAIW && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == AUST && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == URUG && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == DAIB && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == DAIC && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == DAID && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == DAIF && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == EUOR && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == CETI && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == FRAN && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == FRAN && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == FRAN && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == DOTO && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == DOTO && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == DOTO && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == ALLE && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == ALLE && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == ALLE && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == AUTR && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == AUTR && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == AUTR && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == BELG && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == BELG && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == BELG && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == DANE && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == DANE && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == DANE && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == ESPA && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == ESPA && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == ESPA && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == FINL && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == FINL && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == GRBR && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == GRBR && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == GRBR && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == GREC && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == GREC && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == GREC && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == HOLL && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == HOLL && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == HOLL && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == IRLA && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == IRLA && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == IRLA && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == ISLA && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == ISLA && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == ISLA && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == ITAL && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == ITAL && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == ITAL && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == NORV && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == NORV && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == PORT && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == PORT && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == PORT && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == SUED && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == SUED && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == SUIS && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == SUIS && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == SUIS && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == YOUG && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == YOUG && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == YOUG && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == MAGH && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == MAGH && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == MAGH && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == TURQ && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == TURQ && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == TURQ && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == ARGE && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == ARGE && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == ARGE && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == BRES && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == BRES && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == BRES && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == CHIL && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == CHIL && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == CHIL && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == COLO && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == COLO && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == COLO && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == AFSU && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == AFSU && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == AFSU && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == MARO && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == MARO && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == MARO && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == ISRA && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == ISRA && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == ISRA && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == HONG && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == HONG && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == HONG && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == TCHE && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == TCHE && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == POLO && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == POLO && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == POLO && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == SLVQ && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == SLVQ && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == SLVQ && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == JAPO && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == JAPO && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == JAPO && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == TAIW && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == TAIW && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == TAIW && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == AUST && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == AUST && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == AUST && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == URUG && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == URUG && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == URUG && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == DAIB && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == DAIB && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == DAIB && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == DAIC && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == DAIC && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == DAIC && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == DAID && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == DAID && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == DAID && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == DAIF && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == DAIF && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == DAIF && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == EUOR && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == EUOR && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == EUOR && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == CETI && var60 == SLAVPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == CETI && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == CETI && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == FRAN && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == DOTO && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == ALLE && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == AUTR && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == BELG && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == DANE && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == ESPA && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == FINL && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == GRBR && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == GREC && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == HOLL && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == IRLA && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == ISLA && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == ITAL && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == NORV && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == PORT && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == SUED && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == SUIS && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == YOUG && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == MAGH && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == TURQ && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == ARGE && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == BRES && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == CHIL && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == COLO && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == AFSU && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == MARO && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == ISRA && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == HONG && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == TCHE && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == POLO && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == SLVQ && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == JAPO && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == TAIW && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == AUST && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == URUG && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == DAIB && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == DAIC && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == DAID && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == DAIF && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == EUOR && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == CETI && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == FRAN && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == DOTO && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == ALLE && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == AUTR && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == BELG && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == DANE && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == ESPA && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == FINL && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == GRBR && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == GREC && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == HOLL && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == IRLA && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == ISLA && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == ITAL && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == NORV && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == PORT && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == SUED && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == SUIS && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == YOUG && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == MAGH && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == TURQ && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == ARGE && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == BRES && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == CHIL && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == COLO && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == AFSU && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == MARO && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == ISRA && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == HONG && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == TCHE && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == POLO && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == SLVQ && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == JAPO && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == TAIW && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == AUST && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == URUG && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == DAIB && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == DAIC && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == DAID && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == DAIF && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == EUOR && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == CETI && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == FRAN && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == DOTO && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == ALLE && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == AUTR && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == BELG && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == DANE && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == ESPA && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == FINL && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == GRBR && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == GREC && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == HOLL && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == IRLA && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == ISLA && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == ITAL && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == NORV && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == PORT && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == SUED && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == SUIS && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == YOUG && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == MAGH && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == TURQ && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == ARGE && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == BRES && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == CHIL && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == COLO && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == AFSU && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == MARO && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == ISRA && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == HONG && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == TCHE && var60 == LAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == POLO && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == SLVQ && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == JAPO && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == TAIW && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == AUST && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == URUG && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == DAIB && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == DAIC && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == DAID && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == DAIF && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == EUOR && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == CETI && var60 == SLAVPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) ) ); ( ( ( var1 == S64 && var2 == E1 && var80 == SRADIO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var80 == SRADIO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var80 == CRIT2X5KS && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var80 == CRIT2X5KS && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var80 == CRIT2X8KI && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var80 == CRIT2X8KI && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var80 == SRADIO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var80 == SRADIO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var80 == CRIT2X5KS && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var80 == CRIT2X5KS && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var80 == CRIT2X8KI && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var80 == CRIT2X8KI && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var80 == SRADIO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var80 == SRADIO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var80 == SRADIO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var80 == CRIT2X5KS && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var80 == CRIT2X5KS && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var80 == CRIT2X5KS && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var80 == CRIT2X8KI && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var80 == CRIT2X8KI && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var80 == CRIT2X8KI && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var80 == CRIT4X15KI && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var80 == CRIT4X15KI && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var80 == CRIT4X15KI && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var80 == CRIT4X15CI && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var80 == CRIT4X15CI && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var80 == CRIT4X15CI && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var80 == SRADIO && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var80 == SRADIO && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var80 == SRADIO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var80 == SRADIO && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var80 == SRADIO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var80 == SRADIO && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var80 == SRADIO && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var80 == SRADIO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var80 == CRIT2X5KS && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var80 == CRIT2X5KS && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var80 == CRIT2X5KS && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var80 == CRIT2X5KS && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var80 == CRIT2X5KS && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var80 == CRIT2X5KS && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var80 == CRIT2X5KS && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var80 == CRIT2X5KS && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var80 == CRIT2X8KI && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var80 == CRIT2X8KI && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var80 == CRIT2X8KI && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var80 == CRIT2X8KI && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var80 == CRIT2X8KI && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var80 == CRIT2X8KI && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var80 == CRIT2X8KI && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var80 == CRIT2X8KI && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var80 == CRIT4X15KI && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var80 == CRIT4X15KI && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var80 == CRIT4X15KI && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var80 == CRIT4X15KI && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var80 == CRIT4X15KI && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var80 == CRIT4X15KI && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var80 == CRIT4X15KI && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var80 == CRIT4X15KI && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var80 == CRIT4X15CI && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var80 == CRIT4X15CI && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var80 == CRIT4X15CI && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var80 == CRIT4X15CI && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var80 == CRIT4X15CI && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var80 == CRIT4X15CI && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var80 == CRIT4X15CI && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var80 == CRIT4X15CI && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var80 == SRADIO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var80 == SRADIO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var80 == CRIT2X5KS && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var80 == CRIT2X5KS && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var80 == CRIT2X8KI && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var80 == CRIT2X8KI && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var80 == CRIT4X15KI && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var80 == CRIT4X15KI && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var80 == CRIT4X15CI && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var80 == CRIT4X15CI && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var80 == SRADIO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var80 == CRIT2X8KI && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var80 == CRIT4X15KI && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var80 == CRIT4X15CI && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var80 == ANSRAD && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var80 == SRADIO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var80 == CRIT2X8KI && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var80 == CRIT4X15KI && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var80 == CRIT4X15CI && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var80 == ANSRAD && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var80 == SRADIO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var80 == SRADIO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var80 == CRIT2X5KS && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var80 == CRIT2X5KS && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var80 == CRIT2X8KI && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var80 == CRIT2X8KI && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var80 == CRIT4X15KI && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var80 == CRIT4X15KI && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var80 == CRIT4X15CI && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var80 == CRIT4X15CI && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var80 == SRADIO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var80 == SRADIO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var80 == SRADIO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var80 == CRIT2X5KS && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var80 == CRIT2X5KS && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var80 == CRIT2X5KS && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var80 == CRIT2X8KI && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var80 == CRIT2X8KI && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var80 == CRIT2X8KI && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var80 == CRIT4X15KI && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var80 == CRIT4X15KI && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var80 == CRIT4X15KI && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var80 == CRIT4X15CI && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var80 == CRIT4X15CI && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var80 == CRIT4X15CI && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var80 == SRADIO && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var80 == SRADIO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var80 == SRADIO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var80 == SRADIO && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var80 == SRADIO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var80 == CRIT2X5KS && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var80 == CRIT2X5KS && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var80 == CRIT2X5KS && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var80 == CRIT2X5KS && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var80 == CRIT2X5KS && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var80 == CRIT2X8KI && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var80 == CRIT2X8KI && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var80 == CRIT2X8KI && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var80 == CRIT2X8KI && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var80 == CRIT2X8KI && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var80 == CRIT4X15KI && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var80 == CRIT4X15KI && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var80 == CRIT4X15KI && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var80 == CRIT4X15KI && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var80 == CRIT4X15KI && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var80 == CRIT4X15CI && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var80 == CRIT4X15CI && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var80 == CRIT4X15CI && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var80 == CRIT4X15CI && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var80 == CRIT4X15CI && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var80 == SRADIO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var80 == SRADIO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var80 == CRIT2X5KS && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var80 == CRIT2X5KS && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var80 == CRIT2X8KI && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var80 == CRIT2X8KI && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var80 == CRIT4X15KI && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var80 == CRIT4X15KI && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var80 == CRIT4X15CI && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var80 == CRIT4X15CI && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var80 == SRADIO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var80 == SRADIO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var80 == SRADIO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var80 == CRIT2X5KS && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var80 == CRIT2X5KS && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var80 == CRIT2X5KS && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var80 == CRIT2X8KI && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var80 == CRIT2X8KI && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var80 == CRIT2X8KI && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var80 == CRIT4X15KI && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var80 == CRIT4X15KI && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var80 == CRIT4X15KI && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var80 == CRIT4X15CI && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var80 == CRIT4X15CI && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var80 == CRIT4X15CI && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var80 == SRADIO && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var80 == SRADIO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var80 == SRADIO && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var80 == SRADIO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var80 == SRADIO && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var80 == SRADIO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var80 == CRIT2X5KS && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var80 == CRIT2X5KS && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var80 == CRIT2X5KS && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var80 == CRIT2X5KS && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var80 == CRIT2X5KS && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var80 == CRIT2X5KS && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var80 == CRIT2X8KI && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var80 == CRIT2X8KI && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var80 == CRIT2X8KI && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var80 == CRIT2X8KI && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var80 == CRIT2X8KI && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var80 == CRIT2X8KI && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var80 == CRIT4X15KI && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var80 == CRIT4X15KI && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var80 == CRIT4X15KI && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var80 == CRIT4X15KI && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var80 == CRIT4X15KI && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var80 == CRIT4X15KI && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var80 == CRIT4X15CI && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var80 == CRIT4X15CI && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var80 == CRIT4X15CI && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var80 == CRIT4X15CI && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var80 == CRIT4X15CI && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var80 == CRIT4X15CI && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var80 == SRADIO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var80 == SRADIO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var80 == CRIT2X5KS && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var80 == CRIT2X5KS && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var80 == CRIT2X8KI && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var80 == CRIT2X8KI && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var80 == CRIT4X15KI && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var80 == CRIT4X15KI && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var80 == CRIT4X15CI && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var80 == CRIT4X15CI && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var80 == CRIT4X15KI && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var80 == SRADIO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var80 == SRADIO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var80 == CRIT2X5KS && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var80 == CRIT2X5KS && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var80 == CRIT2X8KI && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var80 == CRIT2X8KI && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var80 == SRADIO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var80 == SRADIO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var80 == CRIT2X5KS && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var80 == CRIT2X8KI && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var80 == CRIT4X15KI && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var80 == SRADIO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var80 == CRIT2X5KS && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var80 == CRIT2X8KI && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var80 == CRIT4X15KI && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) ) ); ( ( ( var1 == S64 && var2 == E1 && var34 == Autre167 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var34 == Autre167 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var34 == CPK01 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var34 == CPK01 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var34 == Autre167 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var34 == Autre167 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var34 == Autre167 && var89 == EVA && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var34 == Autre167 && var89 == EVA && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var34 == Autre167 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var34 == Autre167 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var34 == Autre167 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var34 == Autre167 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var34 == Autre167 && var89 == EVE && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var34 == Autre167 && var89 == EVE && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var34 == Autre167 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var34 == Autre167 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var34 == Autre167 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var34 == Autre167 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var34 == Autre167 && var89 == Autre513 && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var34 == Autre167 && var89 == Autre513 && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var34 == Autre167 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var34 == Autre167 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var34 == Autre167 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var34 == Autre167 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var34 == CPK01 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var34 == CPK01 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var34 == CPK01 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var34 == CPK01 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var34 == CPK01 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var34 == CPK01 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var34 == CPK02 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var34 == CPK02 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var34 == Autre167 && var89 == EVA && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var34 == Autre167 && var89 == EVA && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var34 == Autre167 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var34 == Autre167 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var34 == Autre167 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var34 == Autre167 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var34 == Autre167 && var89 == EVE && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var34 == Autre167 && var89 == EVE && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var34 == Autre167 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var34 == Autre167 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var34 == Autre167 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var34 == Autre167 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var34 == Autre167 && var89 == Autre513 && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var34 == Autre167 && var89 == Autre513 && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var34 == Autre167 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var34 == Autre167 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var34 == Autre167 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var34 == Autre167 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var34 == Autre167 && var89 == EVA && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var34 == Autre167 && var89 == EVA && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var34 == Autre167 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var34 == Autre167 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var34 == Autre167 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var34 == Autre167 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var34 == Autre167 && var89 == EVE && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var34 == Autre167 && var89 == EVE && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var34 == Autre167 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var34 == Autre167 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var34 == Autre167 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var34 == Autre167 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var34 == Autre167 && var89 == Autre513 && var91 == TKO && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var34 == Autre167 && var89 == Autre513 && var91 == TKO && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var34 == Autre167 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var34 == Autre167 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var34 == Autre167 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var34 == Autre167 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var34 == Autre167 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var34 == Autre167 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var34 == Autre167 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var34 == Autre167 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var34 == Autre167 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var34 == Autre167 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var34 == Autre167 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var34 == Autre167 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var34 == Autre167 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var34 == Autre167 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var34 == CPK01 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var34 == CPK01 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var34 == Autre167 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var34 == Autre167 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var34 == Autre167 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var34 == Autre167 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var34 == Autre167 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var34 == Autre167 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var34 == CPK01 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var34 == CPK01 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var34 == CPK01 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var34 == CPK01 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var34 == CPK01 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var34 == Autre167 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var34 == Autre167 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var34 == Autre167 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var34 == Autre167 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var34 == Autre167 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var34 == Autre167 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var34 == Autre167 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var34 == Autre167 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var34 == Autre167 && var89 == EVE && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var34 == Autre167 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var34 == Autre167 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var34 == Autre167 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var34 == Autre167 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var34 == Autre167 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var34 == Autre167 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var34 == Autre167 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var34 == Autre167 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var34 == Autre167 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var34 == Autre167 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var34 == Autre167 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var34 == Autre167 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var34 == CPK01 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var34 == CPK01 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var34 == CPK01 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var34 == CPK01 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var34 == CPK02 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var34 == CPK02 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var34 == Autre167 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var34 == Autre167 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var34 == Autre167 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var34 == Autre167 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var34 == Autre167 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var34 == Autre167 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var34 == Autre167 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var34 == Autre167 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var34 == Autre167 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var34 == Autre167 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var34 == Autre167 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var34 == Autre167 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var34 == Autre167 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var34 == Autre167 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var34 == Autre167 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var34 == Autre167 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var34 == Autre167 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var34 == Autre167 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var34 == Autre167 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var34 == Autre167 && var89 == EVA && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var34 == Autre167 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var34 == Autre167 && var89 == EVE && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var34 == Autre167 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var34 == Autre167 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var34 == Autre167 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var34 == Autre167 && var89 == Autre513 && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var34 == Autre167 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var34 == CPK01 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var34 == CPK01 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var34 == Autre167 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var34 == Autre167 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var34 == Autre167 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var34 == Autre167 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var34 == Autre167 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var34 == Autre167 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) ) ); ( ( ( var1 == S64 && var2 == E1 && var30 == SSATAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var30 == SSATAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var30 == SSATAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var30 == SSATAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var30 == SSATAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var30 == SSATAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var30 == SSATAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var30 == ATARPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var30 == ATARPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var30 == ATARPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var30 == CRIT3ATRPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var30 == SSATAR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var30 == SSATAR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var30 == SSATAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var30 == SSATAR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var30 == SSATAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var30 == SSATAR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var30 == SSATAR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var30 == SSATAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var30 == ATARPH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var30 == ATARPH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var30 == ATARPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var30 == ATARPH && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var30 == ATARPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var30 == ATARPH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var30 == ATARPH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var30 == ATARPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var30 == CRIT3ATRPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var30 == ATARPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var30 == ATARPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var30 == CRIT3ATRPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var30 == SSATAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var30 == SSATAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var30 == SSATAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var30 == SSATAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var30 == SSATAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var30 == SSATAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var30 == SSATAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var30 == SSATAR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var30 == SSATAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var30 == SSATAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var30 == SSATAR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var30 == SSATAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var30 == CRIT3ATRPH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var30 == CRIT3ATRPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var30 == CRIT3ATRPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var30 == CRIT3ATRPH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var30 == CRIT3ATRPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var30 == CRIT3ATRPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var30 == CRIT3ATRPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var30 == SSATAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var30 == SSATAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var30 == SSATAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var30 == ATARPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var30 == ATARPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var30 == ATARPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var30 == CRIT3ATRPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var30 == SSATAR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var30 == SSATAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var30 == SSATAR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var30 == SSATAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var30 == SSATAR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var30 == SSATAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var30 == ATARPH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var30 == ATARPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var30 == ATARPH && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var30 == ATARPH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var30 == ATARPH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var30 == ATARPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var30 == CRIT3ATRPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var30 == ATARPH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var30 == ATARPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var30 == CRIT3ATRPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var30 == ATARPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var30 == SSATAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var30 == SSATAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var30 == SSATAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var30 == SSATAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var30 == ATARPH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var30 == SSATAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) ) ); ( ( ( var1 == S64 && var2 == E1 && var31 == SBANAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var31 == SBANAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var31 == SBANAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var31 == SBANAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var31 == BANAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var31 == BANAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var31 == BANAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var31 == FBANAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var31 == FBANAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var31 == FBANAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var31 == FBANAR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var31 == FBANAR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var31 == FBANAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var31 == FBANAR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var31 == FBANAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var31 == FBANAR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var31 == FBANAR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var31 == FBANAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var31 == FBARAC && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var31 == FBARAC && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var31 == BANAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var31 == BANAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var31 == FBANAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var31 == FBANAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var31 == FBANAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var31 == FBANAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var31 == FBANAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var31 == CRIT3SJAR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var31 == CRIT3SJAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var31 == CRIT3SJAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var31 == CRIT3SJAR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var31 == CRIT3SJAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var31 == CRIT3SJARI && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var31 == CRIT3SJARI && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var31 == CRIT3SJARI && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var31 == CRIT3SJARI && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var31 == CRIT3SJARI && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var31 == CRIT3SJARI && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var31 == CRIT3SJARI && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var31 == BANAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var31 == BANAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var31 == BANAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var31 == FBANAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var31 == FBANAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var31 == FBANAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var31 == FBANAR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var31 == FBANAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var31 == FBANAR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var31 == FBANAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var31 == FBANAR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var31 == FBANAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var31 == FBARAC && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var31 == FBARAC && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var31 == FBARAC && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var31 == SBANAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var31 == SBANAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var31 == BANAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var31 == BANAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var31 == FBANAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var31 == SBANAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) ) ); ( ( ( var1 == S64 && var2 == E1 && var51 == SGSCHA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var51 == SGSCHA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var51 == SGSCHA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var51 == SGSCHA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var51 == SGACHA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var51 == SGACHA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var51 == SGSCHA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var51 == SGSCHA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var51 == SGSCHA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var51 == SGSCHA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var51 == SGSCHA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var51 == SGSCHA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var51 == SGSCHA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var51 == SGSCHA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var51 == SGSCHA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var51 == SGSCHA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var51 == SGSCHA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var51 == SGACHA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var51 == SGACHA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var51 == SGACHA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var51 == SGACHA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var51 == SGACHA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var51 == SGACHA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var51 == SGSCHA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var51 == SGSCHA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var51 == SGACHA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var51 == SGACHA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var51 == SGSCHA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var51 == SGACHA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var51 == SGSCHA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var51 == SGACHA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var51 == SGSCHA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var51 == SGSCHA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var51 == SGACHA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var51 == SGACHA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var51 == SGSCHA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var51 == SGSCHA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var51 == SGSCHA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var51 == SGACHA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var51 == SGACHA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var51 == SGACHA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var51 == SGSCHA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var51 == SGSCHA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var51 == SGSCHA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var51 == SGSCHA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var51 == SGSCHA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var51 == SGACHA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var51 == SGACHA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var51 == SGACHA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var51 == SGACHA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var51 == SGACHA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var51 == SGSCHA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var51 == SGSCHA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var51 == SGACHA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var51 == SGACHA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var51 == SGSCHA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var51 == SGSCHA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var51 == SGSCHA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var51 == SGSCHA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var51 == SGSCHA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var51 == SGSCHA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var51 == SGSCHA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var51 == SGSCHA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var51 == SGSCHA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var51 == SGACHA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var51 == SGACHA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var51 == SGACHA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var51 == SGACHA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var51 == SGSCHA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var51 == SGSCHA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var51 == SGACHA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var51 == SGACHA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var51 == SGSCHA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var51 == SGACHA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var51 == SGSCHA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var51 == SGSCHA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var51 == SGACHA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var51 == SGACHA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var51 == SGSCHA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var51 == SGSCHA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var51 == SGSCHA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) ) ); ( ( ( var1 == S64 && var2 == E1 && var6 == DG && var52 == COFIXE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var6 == DG && var52 == COFIXE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var6 == DD && var52 == COFIXE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var6 == DD && var52 == COFIXE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var6 == DG && var52 == COFIXE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var6 == DG && var52 == COFIXE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var6 == DG && var52 == CORHLO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var6 == DG && var52 == CORHLO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var6 == DD && var52 == COFIXE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var6 == DD && var52 == COFIXE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var6 == DD && var52 == CORHLO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var6 == DD && var52 == CORHLO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var6 == DG && var52 == COFIXE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var6 == DG && var52 == COFIXE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var6 == DG && var52 == COFIXE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var6 == DD && var52 == COFIXE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var6 == DD && var52 == COFIXE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var6 == DD && var52 == COFIXE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var6 == DG && var52 == COFIXE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var6 == DG && var52 == COFIXE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var6 == DG && var52 == COFIXE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var6 == DG && var52 == COFIXE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var6 == DG && var52 == COFIXE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var6 == DG && var52 == CORHLO && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var6 == DG && var52 == CORHLO && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var6 == DG && var52 == CORHLO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var6 == DG && var52 == CORHLO && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var6 == DG && var52 == CORHLO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var6 == DG && var52 == CORHLO && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var6 == DG && var52 == CORHLO && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var6 == DG && var52 == CORHLO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var6 == DD && var52 == COFIXE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var6 == DD && var52 == COFIXE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var6 == DD && var52 == COFIXE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var6 == DD && var52 == COFIXE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var6 == DD && var52 == COFIXE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var6 == DD && var52 == CORHLO && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var6 == DD && var52 == CORHLO && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var6 == DD && var52 == CORHLO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var6 == DD && var52 == CORHLO && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var6 == DD && var52 == CORHLO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var6 == DD && var52 == CORHLO && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var6 == DD && var52 == CORHLO && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var6 == DD && var52 == CORHLO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var6 == DG && var52 == CORHLO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var6 == DG && var52 == CORHLO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var6 == DD && var52 == CORHLO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var6 == DD && var52 == CORHLO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var6 == DG && var52 == CORHLO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var6 == DD && var52 == CORHLO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var6 == DG && var52 == CORHLO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var6 == DD && var52 == CORHLO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var6 == DG && var52 == COREHA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var6 == DG && var52 == COREHA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var6 == DG && var52 == CORHLO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var6 == DG && var52 == CORHLO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var6 == DD && var52 == COREHA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var6 == DD && var52 == COREHA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var6 == DD && var52 == CORHLO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var6 == DD && var52 == CORHLO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var6 == DG && var52 == CORHLO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var6 == DG && var52 == CORHLO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var6 == DG && var52 == CORHLO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var6 == DD && var52 == CORHLO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var6 == DD && var52 == CORHLO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var6 == DD && var52 == CORHLO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var6 == DG && var52 == COFIXE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var6 == DG && var52 == COFIXE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var6 == DG && var52 == COFIXE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var6 == DG && var52 == COFIXE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var6 == DG && var52 == COFIXE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var6 == DG && var52 == CORHLO && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var6 == DG && var52 == CORHLO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var6 == DG && var52 == CORHLO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var6 == DG && var52 == CORHLO && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var6 == DG && var52 == CORHLO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var6 == DD && var52 == COFIXE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var6 == DD && var52 == COFIXE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var6 == DD && var52 == COFIXE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var6 == DD && var52 == COFIXE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var6 == DD && var52 == COFIXE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var6 == DD && var52 == COLOMB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var6 == DD && var52 == CORHLO && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var6 == DD && var52 == CORHLO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var6 == DD && var52 == CORHLO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var6 == DD && var52 == CORHLO && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var6 == DG && var52 == CORHLO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var6 == DG && var52 == CORHLO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var6 == DD && var52 == COLOMB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var6 == DD && var52 == CORHLO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var6 == DG && var52 == COFIXE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var6 == DG && var52 == COFIXE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var6 == DG && var52 == COFIXE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var6 == DD && var52 == COFIXE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var6 == DD && var52 == COFIXE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var6 == DD && var52 == COFIXE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var6 == DG && var52 == COFIXE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var6 == DG && var52 == COFIXE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var6 == DG && var52 == COFIXE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var6 == DG && var52 == CORHLO && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var6 == DG && var52 == CORHLO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var6 == DG && var52 == CORHLO && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var6 == DG && var52 == CORHLO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var6 == DG && var52 == CORHLO && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var6 == DG && var52 == CORHLO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var6 == DD && var52 == COFIXE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var6 == DD && var52 == COFIXE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var6 == DD && var52 == COFIXE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var6 == DD && var52 == CORHLO && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var6 == DD && var52 == CORHLO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var6 == DD && var52 == CORHLO && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var6 == DD && var52 == CORHLO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var6 == DD && var52 == CORHLO && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var6 == DD && var52 == CORHLO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var6 == DG && var52 == CORHLO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var6 == DG && var52 == CORHLO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var6 == DD && var52 == CORHLO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var6 == DD && var52 == CORHLO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var6 == DG && var52 == CORHLO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var6 == DD && var52 == CORHLO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var6 == DG && var52 == COFIXE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var6 == DG && var52 == COFIXE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var6 == DG && var52 == CORHLO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var6 == DG && var52 == CORHLO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var6 == DG && var52 == COFIXE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var6 == DD && var52 == COFIXE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var6 == DG && var52 == COFIXE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var6 == DD && var52 == COFIXE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var6 == DG && var52 == COFIXE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var6 == DG && var52 == CORHLO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) ) ); ( ( ( var1 == S64 && var2 == E1 && var55 == SRHENF && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var55 == SRHENF && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var55 == SRHENF && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var55 == SRHENF && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var55 == SRHENF && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var55 == SRHENF && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var55 == SRHENF && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var55 == RHENF && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var55 == RHENF && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var55 == RHENF && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var55 == SRHENF && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var55 == SRHENF && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var55 == SRHENF && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var55 == SRHENF && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var55 == SRHENF && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var55 == SRHENF && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var55 == SRHENF && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var55 == SRHENF && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var55 == RHENF && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var55 == RHENF && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var55 == RHENF && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var55 == RHENF && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var55 == RHENF && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var55 == RHENF && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var55 == RHENF && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var55 == RHENF && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var55 == SRHENF && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var55 == SRHENF && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var55 == RHENF && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var55 == RHENF && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var55 == SRHENF && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var55 == SRHENF && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var55 == SRHENF && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var55 == SRHENF && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var55 == SRHENF && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var55 == SRHENF && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var55 == SRHENF && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var55 == SRHENF && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var55 == SRHENF && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var55 == SRHENF && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var55 == SRHENF && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var55 == SRHENF && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var55 == RHENF && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var55 == RHENF && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var55 == RHENF && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var55 == RHENF && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var55 == RHENF && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var55 == SRHENF && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var55 == SRHENF && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var55 == RHENF && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var55 == RHENF && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var55 == SRHENF && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var55 == SRHENF && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var55 == SRHENF && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var55 == RHENF && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var55 == RHENF && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var55 == RHENF && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var55 == SRHENF && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var55 == SRHENF && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var55 == SRHENF && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var55 == SRHENF && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var55 == SRHENF && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var55 == SRHENF && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var55 == RHENF && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var55 == RHENF && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var55 == RHENF && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var55 == RHENF && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var55 == RHENF && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var55 == RHENF && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var55 == SRHENF && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var55 == SRHENF && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var55 == RHENF && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var55 == RHENF && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var55 == SRHENF && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var55 == SRHENF && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var55 == SRHENF && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var55 == SRHENF && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var55 == SRHENF && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var55 == SRHENF && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) ) ); ( ( ( var1 == S64 && var2 == E1 && var77 == SSABPA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var77 == SSABPA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var77 == ABPA01 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var77 == ABPA01 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var77 == SSABPA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var77 == SSABPA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var77 == ABPA01 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var77 == ABPA01 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var77 == SSABPA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var77 == SSABPA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var77 == SSABPA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var77 == ABPA01 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var77 == ABPA01 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var77 == ABPA01 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var77 == SSABPA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var77 == SSABPA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var77 == SSABPA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var77 == SSABPA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var77 == SSABPA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var77 == SSABPA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var77 == SSABPA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var77 == SSABPA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var77 == ABPA01 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var77 == ABPA01 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var77 == ABPA01 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var77 == ABPA01 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var77 == ABPA01 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var77 == ABPA01 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var77 == ABPA01 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var77 == ABPA01 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var77 == SSABPA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var77 == SSABPA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var77 == ABPA01 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var77 == ABPA01 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var77 == SSABPA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var77 == ABPA01 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var77 == SSABPA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var77 == ABPA01 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var77 == SSABPA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var77 == SSABPA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var77 == ABPA01 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var77 == ABPA01 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var77 == SSABPA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var77 == SSABPA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var77 == SSABPA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var77 == ABPA01 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var77 == ABPA01 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var77 == ABPA01 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var77 == SSABPA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var77 == SSABPA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var77 == SSABPA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var77 == SSABPA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var77 == SSABPA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var77 == ABPA01 && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var77 == ABPA01 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var77 == ABPA01 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var77 == ABPA01 && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var77 == ABPA01 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var77 == SSABPA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var77 == SSABPA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var77 == ABPA01 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var77 == ABPA01 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var77 == SSABPA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var77 == SSABPA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var77 == SSABPA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var77 == ABPA01 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var77 == ABPA01 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var77 == ABPA01 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var77 == SSABPA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var77 == SSABPA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var77 == SSABPA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var77 == SSABPA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var77 == SSABPA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var77 == SSABPA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var77 == ABPA01 && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var77 == ABPA01 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var77 == ABPA01 && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var77 == ABPA01 && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var77 == ABPA01 && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var77 == ABPA01 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var77 == SSABPA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var77 == SSABPA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var77 == ABPA01 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var77 == ABPA01 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var77 == ABPA01 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var77 == SSABPA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var77 == SSABPA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var77 == ABPA01 && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var77 == ABPA01 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var77 == SSABPA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var77 == SSABPA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var77 == ABPA01 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var77 == SSABPA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var77 == ABPA01 && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) ) ); ( ( ( var1 == S64 && var2 == E1 && var17 == SPRTEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var17 == SPRTEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var17 == SPRTEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var17 == SPRTEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var17 == SPRTEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var17 == SPRTEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var17 == SPRTEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var17 == SPRTEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var17 == SPRTEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var17 == SPRTEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var17 == SPRTEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var17 == SPRTEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var17 == SPRTEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var17 == SPRTEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var17 == SPRTEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var17 == SPRTEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var17 == SPRTEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var17 == SPRTEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var17 == SPRTEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var17 == SPRTEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var17 == SPRTEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var17 == SPRTEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var17 == SPRTEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var17 == SPRTEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var17 == SPRTEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var17 == SPRTEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var17 == SPRTEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var17 == SPRTEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var17 == SPRTEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var17 == SPRTEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var17 == SPRTEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var17 == SPRTEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var17 == SPRTEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var17 == SPRTEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var17 == SPRTEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var17 == SPRTEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var17 == SPRTEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var17 == SPRTEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var17 == SPRTEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var17 == SPRTEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var17 == SPRTEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var17 == SPRTEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var17 == SPRTEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var17 == SPRTEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var17 == SPRTEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var17 == SPRTEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var17 == SPRTEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var17 == SPRTEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) ) ); ( ( ( var1 == S64 && var2 == E1 && var20 == SSTIR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var20 == SSTIR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var20 == TIR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var20 == TIR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var20 == SSTIR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var20 == SSTIR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var20 == SSTIR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var20 == TIR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var20 == TIR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var20 == TIR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var20 == TIR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var20 == TIR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var20 == TIR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var20 == TIR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var20 == TIR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var20 == TIR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var20 == TIR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var20 == TIR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var20 == TIR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var20 == TIR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var20 == TIR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var20 == TIR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var20 == TIR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var20 == TIR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var20 == TIR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var20 == TIR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var20 == TIR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var20 == TIR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var20 == TIR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var20 == TIR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var20 == TIR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var20 == SSTIR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var20 == SSTIR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var20 == SSTIR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var20 == TIR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var20 == TIR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var20 == TIR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var20 == TIR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var20 == TIR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var20 == TIR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var20 == TIR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var20 == TIR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var20 == TIR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var20 == TIR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var20 == TIR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var20 == SSTIR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var20 == SSTIR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var20 == TIR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) ) ); ( ( ( var1 == S64 && var2 == E1 && var78 == SFIPOU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var78 == SFIPOU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var78 == SFIPOU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var78 == SFIPOU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var78 == FIPOU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var78 == FIPOU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var78 == SFIPOU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var78 == SFIPOU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var78 == SFIPOU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var78 == SFIPOU && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var78 == SFIPOU && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var78 == SFIPOU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var78 == SFIPOU && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var78 == SFIPOU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var78 == SFIPOU && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var78 == SFIPOU && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var78 == SFIPOU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var78 == FIPOU && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var78 == FIPOU && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var78 == FIPOU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var78 == FIPOU && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var78 == FIPOU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var78 == FIPOU && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var78 == FIPOU && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var78 == FIPOU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var78 == SFIPOU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var78 == SFIPOU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var78 == FIPOU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var78 == FIPOU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var78 == SFIPOU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var78 == FIPOU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var78 == SFIPOU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var78 == FIPOU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var78 == SFIPOU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var78 == SFIPOU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var78 == FIPOU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var78 == FIPOU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var78 == SFIPOU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var78 == SFIPOU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var78 == SFIPOU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var78 == FIPOU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var78 == FIPOU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var78 == FIPOU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var78 == SFIPOU && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var78 == SFIPOU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var78 == SFIPOU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var78 == SFIPOU && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var78 == SFIPOU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var78 == FIPOU && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var78 == FIPOU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var78 == FIPOU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var78 == FIPOU && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var78 == FIPOU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var78 == SFIPOU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var78 == SFIPOU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var78 == FIPOU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var78 == FIPOU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var78 == SFIPOU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var78 == SFIPOU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var78 == SFIPOU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var78 == SFIPOU && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var78 == SFIPOU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var78 == SFIPOU && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var78 == SFIPOU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var78 == SFIPOU && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var78 == SFIPOU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var78 == FIPOU && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var78 == FIPOU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var78 == FIPOU && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var78 == FIPOU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var78 == FIPOU && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var78 == FIPOU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var78 == SFIPOU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var78 == SFIPOU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var78 == FIPOU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var78 == FIPOU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var78 == SFIPOU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var78 == FIPOU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var78 == SFIPOU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var78 == SFIPOU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var78 == FIPOU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var78 == FIPOU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var78 == SFIPOU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var78 == FIPOU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var78 == SFIPOU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var78 == FIPOU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var78 == SFIPOU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var78 == FIPOU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) ) ); ( ( ( var1 == S64 && var2 == E1 && var46 == RENTC && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var46 == RENTC && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var46 == RENTC && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var46 == RENTC && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var46 == RENTC && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var46 == RENTC && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var46 == RENTC && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var46 == RENTC && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var46 == RENTC && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var46 == RENTC && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var46 == RENTC && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var46 == RENTC && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var46 == RENTC && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var46 == RENTC && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var46 == RENTC && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var46 == RETC && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var46 == RETC && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var46 == RENTC && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var46 == RETC && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var46 == RENTC && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var46 == RENTC && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var46 == RETC && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var46 == RETC && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var46 == RETC && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var46 == RENTC && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var46 == RENTC && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var46 == RENTC && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var46 == RENTC && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var46 == RENTC && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var46 == RENTC && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var46 == RENTC && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var46 == RENTC && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var46 == RENTC && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var46 == RENTC && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var46 == RENTC && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var46 == RENTC && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var46 == RENTC && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var46 == RENTC && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var46 == RENTC && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var46 == RENTC && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var46 == RETC && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var46 == RETC && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var46 == RETC && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var46 == RENTC && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var46 == RENTC && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var46 == RENTC && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var46 == RENTC && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var46 == RENTC && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) ) ); ( ( ( var1 == S64 && var2 == E1 && var3 == M9 && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var15 == PBNCH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var15 == PBNCH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var15 == PBCH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var15 == PBCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var15 == PBCH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var15 == PBNCH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var15 == PBNCH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var15 == PBCH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var15 == PBCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var15 == PBCH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var15 == PBNCH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var15 == PBNCH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var15 == PBCH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var15 == PBCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var15 == PBCH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var15 == PBNCH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var15 == PBNCH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var15 == PBCH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var15 == PBCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var15 == PBCH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var15 == PBNCH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var15 == PBNCH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var15 == PBCH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var15 == PBCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var15 == PBCH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var15 == PBNCH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MA && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MA && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var15 == PBNCH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MA && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var15 == PBCH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MA && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var15 == PBCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var15 == PBCH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MA && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var15 == PBNCH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var15 == PBNCH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var15 == PBCH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var15 == PBCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var15 == PBCH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var15 == PBNCH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MC && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MC && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var15 == PBNCH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MC && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var15 == PBCH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MC && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var15 == PBCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var15 == PBCH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MC && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var15 == PBNCH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MD && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MD && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var15 == PBNCH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MD && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var15 == PBCH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MD && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var15 == PBCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var15 == PBCH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MD && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var15 == PBNCH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ME && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ME && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var15 == PBNCH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ME && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var15 == PBCH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ME && var15 == PBCH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MF && var15 == PBNCH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MF && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var15 == PBNCH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MF && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var15 == PBCH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MF && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var15 == PBCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var15 == PBCH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MF && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var15 == PBNCH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var15 == PBNCH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var15 == PBCH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var15 == PBCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var15 == PBCH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var15 == PBNCH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MK && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MK && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var15 == PBNCH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MK && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var15 == PBCH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MK && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var15 == PBCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var15 == PBCH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MK && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var15 == PBNCH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ML && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ML && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var15 == PBNCH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ML && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var15 == PBCH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ML && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var15 == PBCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var15 == PBCH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ML && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var15 == PBNCH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MM && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MM && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var15 == PBNCH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MM && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var15 == PBCH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MM && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var15 == PBCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var15 == PBCH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MM && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var15 == PBNCH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MS && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MS && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var15 == PBNCH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MS && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var15 == PBCH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MS && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var15 == PBCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var15 == PBCH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MS && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var15 == PBNCH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MT && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MT && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var15 == PBNCH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MT && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var15 == PBCH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MT && var15 == PBCH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MU && var15 == PBNCH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MU && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MU && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var15 == PBNCH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MU && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var15 == PBCH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MU && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var15 == PBCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var15 == PBCH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MU && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var15 == PBNCH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MN && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MN && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var15 == PBNCH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MN && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var15 == PBCH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MN && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var15 == PBCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var15 == PBCH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MN && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var15 == PBNCH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MH && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MH && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var15 == PBNCH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MH && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var15 == PBCH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MH && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var15 == PBCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var15 == PBCH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MH && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var15 == PBNCH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var15 == PBNCH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var15 == PBCH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var15 == PBCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var15 == PBCH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var15 == PBNCH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MY && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MY && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var15 == PBNCH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MY && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var15 == PBCH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MY && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var15 == PBCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var15 == PBCH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MY && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var15 == PBNCH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var15 == PBNCH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var15 == PBCH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var15 == PBCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var15 == PBCH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var15 == PBNCH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var15 == PBNCH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var15 == PBCH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var15 == PBCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var15 == PBCH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var15 == PBNCH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var15 == PBNCH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var15 == PBCH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var15 == PBCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var15 == PBCH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var15 == PBCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var15 == PBCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var15 == PBCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var15 == PBCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var15 == PBCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var15 == PBCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var15 == PBCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var15 == PBCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var15 == PBCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var15 == PBCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var15 == PBCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var15 == PBCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var15 == PBCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var15 == PBCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var15 == PBCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MS && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MS && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MS && var15 == PBCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MS && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var15 == PBCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MU && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MU && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MU && var15 == PBCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MU && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var15 == PBCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var15 == PBCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var15 == PBCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var15 == PBCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var15 == PBCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var15 == PBCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var15 == PBCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var15 == PBCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var15 == PBCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var15 == PBCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var15 == PBCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var15 == PBCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var15 == PBCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var15 == PBCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var15 == PBCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var15 == PBCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var15 == PBCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var15 == PBCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var15 == PBCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var15 == PBCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var15 == PBCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var15 == PBCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MS && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MS && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MS && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MS && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MS && var15 == PBCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MS && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var15 == PBCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MU && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MU && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MU && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MU && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MU && var15 == PBCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MU && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var15 == PBCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var15 == PBCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var15 == PBCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var15 == PBCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var15 == PBCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var15 == PBCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var15 == PBCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var15 == PBNCH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var15 == PBNCH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var15 == PBCH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var15 == PBCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var15 == PBCH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var15 == PBNCH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var15 == PBNCH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var15 == PBCH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var15 == PBCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var15 == PBCH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var15 == PBNCH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var15 == PBNCH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var15 == PBCH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var15 == PBCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var15 == PBCH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var15 == PBNCH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var15 == PBNCH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var15 == PBCH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var15 == PBCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var15 == PBCH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var15 == PBNCH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var15 == PBNCH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var15 == PBCH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var15 == PBCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var15 == PBCH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var15 == PBNCH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var15 == PBNCH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var15 == PBCH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var15 == PBCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var15 == PBCH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var15 == PBNCH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var15 == PBNCH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var15 == PBCH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var15 == PBCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var15 == PBCH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var15 == PBNCH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var15 == PBNCH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var15 == PBCH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var15 == PBCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var15 == PBCH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var15 == PBNCH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var15 == PBNCH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var15 == PBCH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var15 == PBCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var15 == PBCH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var15 == PBNCH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var15 == PBNCH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var15 == PBCH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var15 == PBCH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var15 == PBNCH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var15 == PBNCH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var15 == PBCH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var15 == PBCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var15 == PBCH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var15 == PBNCH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var15 == PBNCH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var15 == PBCH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var15 == PBCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var15 == PBCH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var15 == PBNCH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var15 == PBNCH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var15 == PBCH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var15 == PBCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var15 == PBCH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var15 == PBNCH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var15 == PBNCH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var15 == PBCH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var15 == PBCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var15 == PBCH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var15 == PBNCH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var15 == PBNCH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var15 == PBCH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var15 == PBCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var15 == PBCH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var15 == PBNCH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var15 == PBNCH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var15 == PBCH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var15 == PBCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var15 == PBCH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var15 == PBNCH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var15 == PBNCH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var15 == PBCH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var15 == PBCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var15 == PBCH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var15 == PBNCH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var15 == PBNCH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var15 == PBCH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var15 == PBCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var15 == PBCH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var15 == PBNCH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var15 == PBNCH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var15 == PBCH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var15 == PBCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var15 == PBCH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var15 == PBNCH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var15 == PBNCH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var15 == PBCH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var15 == PBCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var15 == PBCH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var15 == PBNCH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var15 == PBNCH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var15 == PBCH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var15 == PBCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var15 == PBCH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var15 == PBNCH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var15 == PBNCH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var15 == PBCH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var15 == PBCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var15 == PBCH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var15 == PBNCH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var15 == PBNCH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var15 == PBCH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var15 == PBCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var15 == PBCH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var15 == PBNCH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var15 == PBNCH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var15 == PBCH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var15 == PBCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var15 == PBCH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var15 == PBNCH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var15 == PBNCH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var15 == PBCH && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var15 == PBCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var15 == PBCH && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var15 == PBCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var15 == PBCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var15 == PBCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var15 == PBCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var15 == PBCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MA && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MA && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MA && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MA && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var15 == PBCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MA && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var15 == PBCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MC && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MC && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MC && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MC && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var15 == PBCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MC && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MD && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MD && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MD && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MD && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var15 == PBCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MD && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ME && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ME && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ME && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ME && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MF && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MF && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MF && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MF && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var15 == PBCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MF && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var15 == PBCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MK && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MK && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MK && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MK && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var15 == PBCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MK && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ML && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ML && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ML && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ML && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var15 == PBCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ML && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MM && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MM && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MM && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MM && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var15 == PBCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MM && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MS && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MS && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MS && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MS && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var15 == PBCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MS && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MT && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MT && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MT && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MT && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MU && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MU && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MU && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MU && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MU && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var15 == PBCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MU && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MN && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MN && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MN && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MN && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var15 == PBCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MN && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MH && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MH && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MH && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MH && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var15 == PBCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MH && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var15 == PBCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MY && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MY && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MY && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MY && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var15 == PBCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MY && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var15 == PBCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var15 == PBCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var15 == PBNCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var15 == PBCH && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var15 == PBNCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var15 == PBCH && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var15 == PBCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var15 == PBNCH && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) ) ); ( ( ( var1 == S64 && var2 == E1 && var16 == VC && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var16 == VC && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var16 == VT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var16 == VT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var16 == VC && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var16 == VC && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var16 == VT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var16 == VT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var16 == VC && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var16 == VC && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var16 == VC && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var16 == VT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var16 == VT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var16 == VT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var16 == VC && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var16 == VC && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var16 == VC && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var16 == VC && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var16 == VC && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var16 == VC && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var16 == VC && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var16 == VT && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var16 == VT && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var16 == VT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var16 == VT && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var16 == VT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var16 == VT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var16 == VT && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var16 == VT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var16 == VT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var16 == VT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var16 == VT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var16 == VT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var16 == VT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var16 == VT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var16 == VT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var16 == VT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var16 == VT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var16 == VC && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var16 == VC && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var16 == VC && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var16 == VC && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var16 == VC && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var16 == VT && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var16 == VT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var16 == VT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var16 == VT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var16 == VT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var16 == VT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var16 == VT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var16 == VC && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var16 == VC && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var16 == VC && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var16 == VT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var16 == VT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var16 == VT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var16 == VC && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var16 == VC && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var16 == VC && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var16 == VC && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var16 == VC && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var16 == VT && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var16 == VT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var16 == VT && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var16 == VT && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var16 == VT && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var16 == VT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var16 == VT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var16 == VT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var16 == VT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var16 == VC && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var16 == VC && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var16 == VT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var16 == VT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var16 == VC && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var16 == VT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var16 == VC && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var16 == VT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var16 == VC && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var16 == VT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) ) ); ( ( ( var1 == S64 && var2 == E1 && var48 == SSLVAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var48 == SSLVAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var48 == SSLVAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var48 == SSLVAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var48 == LVARMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var48 == LVARMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var48 == LVARMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var48 == LVARMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var48 == LVARMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var48 == LVARMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var48 == LVARMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var48 == LVARMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var48 == LVARMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var48 == LVARMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var48 == LVARMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var48 == LVARMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var48 == LVARMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var48 == LVAREL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var48 == LVAREL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var48 == LVAREL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var48 == LVAREL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var48 == SSLVAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var48 == SSLVAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var48 == SSLVAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var48 == SSLVAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var48 == SSLVAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var48 == LVARMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var48 == LVARMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var48 == LVARMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var48 == LVARMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var48 == LVARMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var48 == LVARMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var48 == LVARMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var48 == LVAREL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var48 == LVAREL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var48 == LVARMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var48 == LVARMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var48 == LVARMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var48 == LVARMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var48 == LVARMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var48 == LVARMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var48 == LVARMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var48 == LVARMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var48 == LVARMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var48 == LVARMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var48 == LVARMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var48 == LVAREL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var48 == LVAREL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var48 == LVAREL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var48 == LVARMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var48 == LVARMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var48 == LVARMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var48 == LVARMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var48 == SSLVAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) ) ); ( ( ( var1 == S64 && var2 == E1 && var24 == SSARCE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var24 == SSARCE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var24 == SSARCE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var24 == SSARCE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var24 == SSARCE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var24 == SSARCE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var24 == SSARCE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var24 == SSARCE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var24 == SSARCE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var24 == SSARCE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var24 == SSARCE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var24 == SSARCE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var24 == SSARCE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var24 == SSARCE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var24 == SSARCE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var24 == SSARCE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var24 == SSARCE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var24 == SSARCE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var24 == SSARCE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var24 == SSARCE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var24 == SSARCE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var24 == SSARCE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var24 == SSARCE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var24 == SSARCE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var24 == SSARCE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var24 == SSARCE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var24 == SSARCE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var24 == SSARCE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var24 == SSARCE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var24 == SSARCE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var24 == SSARCE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var24 == SSARCE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var24 == SSARCE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var24 == SSARCE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var24 == SSARCE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var24 == SSARCE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var24 == SSARCE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var24 == SSARCE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var24 == SSARCE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var24 == SSARCE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var24 == SSARCE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var24 == SSARCE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var24 == SSARCE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var24 == SSARCE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var24 == SSARCE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var24 == SSARCE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var24 == SSARCE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var24 == SSARCE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) ) ); ( ( ( var1 == S64 && var2 == E1 && var89 == EVA && var91 == Autre613 && var92 == Autre713 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var89 == Autre513 && var91 == Autre613 && var92 == Autre713 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var89 == EVA && var91 == Autre613 && var92 == Autre713 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var89 == Autre513 && var91 == Autre613 && var92 == EQDIF && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var89 == Autre513 && var91 == Autre613 && var92 == Autre713 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var89 == EVA && var91 == TKO && var92 == Autre713 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var89 == EVA && var91 == TKO && var92 == Autre713 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var89 == EVA && var91 == TKO && var92 == Autre713 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var89 == EVA && var91 == Autre613 && var92 == Autre713 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var89 == EVA && var91 == Autre613 && var92 == Autre713 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var89 == EVA && var91 == Autre613 && var92 == Autre713 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var89 == EVE && var91 == TKO && var92 == Autre713 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var89 == EVE && var91 == TKO && var92 == Autre713 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var89 == EVE && var91 == TKO && var92 == Autre713 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var89 == EVE && var91 == Autre613 && var92 == Autre713 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var89 == EVE && var91 == Autre613 && var92 == Autre713 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var89 == EVE && var91 == Autre613 && var92 == Autre713 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var89 == Autre513 && var91 == TKO && var92 == Autre713 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var89 == Autre513 && var91 == TKO && var92 == Autre713 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var89 == Autre513 && var91 == TKO && var92 == Autre713 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var89 == Autre513 && var91 == Autre613 && var92 == Autre713 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var89 == Autre513 && var91 == Autre613 && var92 == Autre713 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var89 == Autre513 && var91 == Autre613 && var92 == Autre713 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var89 == EVA && var91 == TKO && var92 == Autre713 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var89 == EVA && var91 == TKO && var92 == Autre713 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var89 == EVA && var91 == TKO && var92 == Autre713 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var89 == EVA && var91 == Autre613 && var92 == Autre713 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var89 == EVA && var91 == Autre613 && var92 == Autre713 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var89 == EVA && var91 == Autre613 && var92 == Autre713 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var89 == EVE && var91 == TKO && var92 == Autre713 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var89 == EVE && var91 == TKO && var92 == Autre713 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var89 == EVE && var91 == TKO && var92 == Autre713 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var89 == EVE && var91 == Autre613 && var92 == EQDIF && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var89 == EVE && var91 == Autre613 && var92 == Autre713 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var89 == EVE && var91 == Autre613 && var92 == Autre713 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var89 == EVE && var91 == Autre613 && var92 == Autre713 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var89 == Autre513 && var91 == TKO && var92 == Autre713 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var89 == Autre513 && var91 == TKO && var92 == Autre713 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var89 == Autre513 && var91 == TKO && var92 == Autre713 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var89 == Autre513 && var91 == Autre613 && var92 == EQDIF && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var89 == Autre513 && var91 == Autre613 && var92 == Autre713 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var89 == Autre513 && var91 == Autre613 && var92 == Autre713 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var89 == Autre513 && var91 == Autre613 && var92 == Autre713 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var89 == EVA && var91 == TKO && var92 == Autre713 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var89 == EVA && var91 == TKO && var92 == Autre713 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var89 == EVA && var91 == TKO && var92 == Autre713 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var89 == EVA && var91 == Autre613 && var92 == Autre713 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var89 == EVA && var91 == Autre613 && var92 == Autre713 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var89 == EVA && var91 == Autre613 && var92 == Autre713 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var89 == EVE && var91 == TKO && var92 == Autre713 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var89 == EVE && var91 == TKO && var92 == Autre713 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var89 == EVE && var91 == TKO && var92 == Autre713 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var89 == EVE && var91 == Autre613 && var92 == Autre713 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var89 == EVE && var91 == Autre613 && var92 == Autre713 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var89 == EVE && var91 == Autre613 && var92 == Autre713 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var89 == Autre513 && var91 == TKO && var92 == Autre713 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var89 == Autre513 && var91 == TKO && var92 == Autre713 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var89 == Autre513 && var91 == TKO && var92 == Autre713 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var89 == Autre513 && var91 == Autre613 && var92 == Autre713 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var89 == Autre513 && var91 == Autre613 && var92 == Autre713 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var89 == Autre513 && var91 == Autre613 && var92 == Autre713 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var89 == Autre513 && var91 == TKO && var92 == Autre713 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var89 == Autre513 && var91 == Autre613 && var92 == Autre713 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var89 == Autre513 && var91 == TKO && var92 == Autre713 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var89 == Autre513 && var91 == Autre613 && var92 == Autre713 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var89 == Autre513 && var91 == TKO && var92 == Autre713 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var89 == Autre513 && var91 == Autre613 && var92 == CPNT01 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var89 == Autre513 && var91 == Autre613 && var92 == CPNT02 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var89 == Autre513 && var91 == Autre613 && var92 == CPNT03 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var89 == Autre513 && var91 == Autre613 && var92 == Autre713 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var89 == Autre513 && var91 == TKO && var92 == Autre713 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var89 == Autre513 && var91 == Autre613 && var92 == CPNT01 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var89 == Autre513 && var91 == Autre613 && var92 == CPNT02 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var89 == Autre513 && var91 == Autre613 && var92 == CPNT03 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var89 == Autre513 && var91 == Autre613 && var92 == Autre713 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var89 == Autre513 && var91 == TKO && var92 == Autre713 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var89 == Autre513 && var91 == Autre613 && var92 == Autre713 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var89 == EVA && var91 == Autre613 && var92 == Autre713 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var89 == EVE && var91 == Autre613 && var92 == Autre713 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var89 == Autre513 && var91 == Autre613 && var92 == Autre713 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var89 == EVA && var91 == Autre613 && var92 == Autre713 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var89 == EVE && var91 == Autre613 && var92 == Autre713 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var89 == Autre513 && var91 == Autre613 && var92 == Autre713 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var89 == EVA && var91 == TKO && var92 == Autre713 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var89 == EVA && var91 == Autre613 && var92 == Autre713 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var89 == EVE && var91 == TKO && var92 == Autre713 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var89 == EVE && var91 == Autre613 && var92 == Autre713 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var89 == Autre513 && var91 == TKO && var92 == Autre713 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var89 == Autre513 && var91 == Autre613 && var92 == EQDIF && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var89 == Autre513 && var91 == Autre613 && var92 == Autre713 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var89 == EVA && var91 == TKO && var92 == Autre713 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var89 == EVA && var91 == Autre613 && var92 == Autre713 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var89 == EVE && var91 == TKO && var92 == Autre713 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var89 == EVE && var91 == Autre613 && var92 == Autre713 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var89 == Autre513 && var91 == TKO && var92 == Autre713 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var89 == Autre513 && var91 == Autre613 && var92 == Autre713 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var89 == EVA && var91 == Autre613 && var92 == Autre713 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var89 == EVA && var91 == Autre613 && var92 == Autre713 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var89 == EVA && var91 == Autre613 && var92 == Autre713 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var89 == EVE && var91 == Autre613 && var92 == Autre713 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var89 == EVE && var91 == Autre613 && var92 == Autre713 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var89 == EVE && var91 == Autre613 && var92 == Autre713 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var89 == Autre513 && var91 == Autre613 && var92 == Autre713 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var89 == Autre513 && var91 == Autre613 && var92 == Autre713 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var89 == Autre513 && var91 == Autre613 && var92 == Autre713 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var89 == EVA && var91 == Autre613 && var92 == Autre713 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var89 == EVA && var91 == Autre613 && var92 == Autre713 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var89 == EVA && var91 == Autre613 && var92 == Autre713 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var89 == EVE && var91 == Autre613 && var92 == EQDIF && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var89 == EVE && var91 == Autre613 && var92 == Autre713 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var89 == EVE && var91 == Autre613 && var92 == Autre713 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var89 == EVE && var91 == Autre613 && var92 == Autre713 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var89 == Autre513 && var91 == Autre613 && var92 == EQDIF && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var89 == Autre513 && var91 == Autre613 && var92 == Autre713 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var89 == Autre513 && var91 == Autre613 && var92 == Autre713 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var89 == Autre513 && var91 == Autre613 && var92 == Autre713 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var89 == EVA && var91 == Autre613 && var92 == Autre713 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var89 == EVA && var91 == Autre613 && var92 == Autre713 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var89 == EVA && var91 == Autre613 && var92 == Autre713 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var89 == EVE && var91 == Autre613 && var92 == Autre713 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var89 == EVE && var91 == Autre613 && var92 == Autre713 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var89 == EVE && var91 == Autre613 && var92 == Autre713 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var89 == Autre513 && var91 == Autre613 && var92 == Autre713 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var89 == Autre513 && var91 == Autre613 && var92 == Autre713 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var89 == Autre513 && var91 == Autre613 && var92 == Autre713 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var89 == EVA && var91 == Autre613 && var92 == Autre713 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var89 == EVA && var91 == Autre613 && var92 == Autre713 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var89 == EVA && var91 == Autre613 && var92 == Autre713 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var89 == EVE && var91 == Autre613 && var92 == Autre713 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var89 == EVE && var91 == Autre613 && var92 == Autre713 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var89 == EVE && var91 == Autre613 && var92 == Autre713 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var89 == Autre513 && var91 == Autre613 && var92 == Autre713 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var89 == Autre513 && var91 == Autre613 && var92 == Autre713 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var89 == Autre513 && var91 == Autre613 && var92 == Autre713 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var89 == EVA && var91 == Autre613 && var92 == Autre713 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var89 == Autre513 && var91 == Autre613 && var92 == Autre713 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var89 == Autre513 && var91 == Autre613 && var92 == Autre713 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var89 == Autre513 && var91 == Autre613 && var92 == Autre713 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var89 == Autre513 && var91 == Autre613 && var92 == Autre713 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var89 == Autre513 && var91 == Autre613 && var92 == Autre713 && var98 == Autre913 ) ) ); ( ( ( var1 == S64 && var2 == E1 && var3 == M9 && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var6 == DG && var21 == RETROR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var6 == DG && var21 == RETROE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var6 == DD && var21 == RETROR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var6 == DD && var21 == RETROE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var6 == DG && var21 == RETROR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var6 == DG && var21 == RETROE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var6 == DD && var21 == RETROR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var6 == DD && var21 == RETROE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var6 == DG && var21 == RETROR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var6 == DG && var21 == RETROE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var6 == DD && var21 == RETROR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var6 == DD && var21 == RETROE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var6 == DG && var21 == RETROR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var6 == DG && var21 == RETROE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var6 == DD && var21 == RETROR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var6 == DD && var21 == RETROE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var6 == DG && var21 == RETROR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var6 == DG && var21 == RETROE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var6 == DD && var21 == RETROR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var6 == DD && var21 == RETROE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var6 == DG && var21 == RETROR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MA && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MA && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MA && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var6 == DG && var21 == RETROE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MA && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MA && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MA && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var6 == DD && var21 == RETROR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MA && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MA && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MA && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var6 == DD && var21 == RETROE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MA && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MA && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MA && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var6 == DG && var21 == RETROR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var6 == DG && var21 == RETROE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var6 == DD && var21 == RETROR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var6 == DD && var21 == RETROE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var6 == DG && var21 == RETROR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MC && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MC && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MC && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var6 == DG && var21 == RETROE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MC && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MC && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MC && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var6 == DD && var21 == RETROR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MC && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MC && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MC && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var6 == DD && var21 == RETROE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MC && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MC && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MC && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var6 == DG && var21 == RETROR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MD && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MD && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MD && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var6 == DG && var21 == RETROE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MD && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MD && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MD && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var6 == DD && var21 == RETROR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MD && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MD && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MD && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var6 == DD && var21 == RETROE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MD && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MD && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MD && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var6 == DG && var21 == RETROR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ME && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ME && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ME && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var6 == DG && var21 == RETROE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ME && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ME && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ME && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var6 == DD && var21 == RETROR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ME && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ME && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ME && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var6 == DD && var21 == RETROE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ME && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ME && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ME && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var6 == DG && var21 == RETROR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MF && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MF && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var6 == DG && var21 == RETROE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MF && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MF && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var6 == DD && var21 == RETROR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MF && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MF && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var6 == DD && var21 == RETROE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MF && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MF && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var6 == DG && var21 == RETROR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var6 == DG && var21 == RETROE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var6 == DD && var21 == RETROR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var6 == DD && var21 == RETROE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var6 == DG && var21 == RETROR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MK && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MK && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MK && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var6 == DG && var21 == RETROE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MK && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MK && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MK && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var6 == DD && var21 == RETROR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MK && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MK && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MK && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var6 == DD && var21 == RETROE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MK && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MK && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MK && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var6 == DG && var21 == RETROR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ML && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ML && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ML && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var6 == DG && var21 == RETROE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ML && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ML && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ML && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var6 == DD && var21 == RETROR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ML && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ML && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ML && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var6 == DD && var21 == RETROE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ML && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ML && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ML && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var6 == DG && var21 == RETROR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MM && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MM && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MM && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var6 == DG && var21 == RETROE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MM && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MM && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MM && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var6 == DD && var21 == RETROR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MM && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MM && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MM && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var6 == DD && var21 == RETROE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MM && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MM && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MM && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var6 == DG && var21 == RETROR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MS && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MS && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MS && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var6 == DG && var21 == RETROE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MS && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MS && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MS && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var6 == DD && var21 == RETROR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MS && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MS && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MS && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var6 == DD && var21 == RETROE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MS && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MS && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MS && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var6 == DG && var21 == RETROR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MT && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MT && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MT && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var6 == DG && var21 == RETROE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MT && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MT && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MT && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var6 == DD && var21 == RETROR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MT && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MT && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MT && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var6 == DD && var21 == RETROE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MT && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MT && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MT && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var6 == DG && var21 == RETROR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MU && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MU && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MU && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var6 == DG && var21 == RETROE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MU && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MU && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MU && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var6 == DD && var21 == RETROR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MU && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MU && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MU && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var6 == DD && var21 == RETROE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MU && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MU && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MU && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var6 == DG && var21 == RETROR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MN && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MN && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MN && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var6 == DG && var21 == RETROE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MN && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MN && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MN && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var6 == DD && var21 == RETROR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MN && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MN && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MN && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var6 == DD && var21 == RETROE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MN && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MN && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MN && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var6 == DG && var21 == RETROR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MH && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MH && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MH && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var6 == DG && var21 == RETROE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MH && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MH && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MH && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var6 == DD && var21 == RETROR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MH && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MH && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MH && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var6 == DD && var21 == RETROE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MH && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MH && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MH && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var6 == DG && var21 == RETROR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var6 == DG && var21 == RETROE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var6 == DD && var21 == RETROR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var6 == DD && var21 == RETROE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var6 == DG && var21 == RETROR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MY && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MY && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MY && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var6 == DG && var21 == RETROE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MY && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MY && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MY && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var6 == DD && var21 == RETROR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MY && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MY && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MY && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var6 == DD && var21 == RETROE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MY && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MY && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MY && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var6 == DG && var21 == RETROR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var6 == DG && var21 == RETROE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var6 == DD && var21 == RETROR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var6 == DD && var21 == RETROE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var6 == DG && var21 == RETROR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var6 == DG && var21 == RETROE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var6 == DD && var21 == RETROR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var6 == DD && var21 == RETROE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var6 == DG && var21 == RETROR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var6 == DG && var21 == RETROE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var6 == DD && var21 == RETROR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var6 == DD && var21 == RETROE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MS && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MS && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MS && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MS && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MS && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MS && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MS && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MS && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MU && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MU && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MU && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MU && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MU && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MU && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MU && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MU && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MS && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MS && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MS && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MS && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MS && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MS && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MS && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MS && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MS && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MS && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MS && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MU && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MU && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MU && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MU && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MU && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MU && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MU && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MU && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MU && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MU && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MU && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var6 == DG && var21 == RETROR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var6 == DG && var21 == RETROE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var6 == DD && var21 == RETROR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var6 == DD && var21 == RETROE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var6 == DG && var21 == RETROR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var6 == DG && var21 == RETROE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var6 == DD && var21 == RETROR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var6 == DD && var21 == RETROE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var6 == DG && var21 == RETROR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var6 == DG && var21 == RETROE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var6 == DD && var21 == RETROR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var6 == DD && var21 == RETROE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var6 == DG && var21 == RETROR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var6 == DG && var21 == RETROE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var6 == DD && var21 == RETROR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var6 == DD && var21 == RETROE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var6 == DG && var21 == RETROR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var6 == DG && var21 == RETROE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var6 == DD && var21 == RETROR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var6 == DD && var21 == RETROE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var6 == DG && var21 == RETROR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var6 == DG && var21 == RETROE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var6 == DD && var21 == RETROR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var6 == DD && var21 == RETROE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var6 == DG && var21 == RETROR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var6 == DG && var21 == RETROE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var6 == DD && var21 == RETROR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var6 == DD && var21 == RETROE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var6 == DG && var21 == RETROR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var6 == DG && var21 == RETROE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var6 == DD && var21 == RETROR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var6 == DD && var21 == RETROE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var6 == DG && var21 == RETROR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var6 == DG && var21 == RETROE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var6 == DD && var21 == RETROR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var6 == DD && var21 == RETROE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var6 == DG && var21 == RETROR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var6 == DG && var21 == RETROE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var6 == DD && var21 == RETROR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var6 == DD && var21 == RETROE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var6 == DG && var21 == RETROR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var6 == DG && var21 == RETROE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var6 == DD && var21 == RETROR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var6 == DD && var21 == RETROE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var6 == DG && var21 == RETROR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var6 == DG && var21 == RETROE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var6 == DD && var21 == RETROR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var6 == DD && var21 == RETROE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var6 == DG && var21 == RETROR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var6 == DG && var21 == RETROE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var6 == DD && var21 == RETROR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var6 == DD && var21 == RETROE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var6 == DG && var21 == RETROR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var6 == DG && var21 == RETROE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var6 == DD && var21 == RETROR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var6 == DD && var21 == RETROE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var6 == DG && var21 == RETROR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var6 == DG && var21 == RETROE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var6 == DD && var21 == RETROR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var6 == DD && var21 == RETROE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var6 == DG && var21 == RETROR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var6 == DG && var21 == RETROE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var6 == DD && var21 == RETROR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var6 == DD && var21 == RETROE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var6 == DG && var21 == RETROR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var6 == DG && var21 == RETROE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var6 == DD && var21 == RETROR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var6 == DD && var21 == RETROE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var6 == DG && var21 == RETROR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var6 == DG && var21 == RETROE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var6 == DD && var21 == RETROR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var6 == DD && var21 == RETROE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var6 == DG && var21 == RETROR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var6 == DG && var21 == RETROE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var6 == DD && var21 == RETROR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var6 == DD && var21 == RETROE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var6 == DG && var21 == RETROR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var6 == DG && var21 == RETROE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var6 == DD && var21 == RETROR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var6 == DD && var21 == RETROE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var6 == DG && var21 == RETROR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var6 == DG && var21 == RETROE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var6 == DD && var21 == RETROR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var6 == DD && var21 == RETROE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var6 == DG && var21 == RETROR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var6 == DG && var21 == RETROE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var6 == DD && var21 == RETROR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var6 == DD && var21 == RETROE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var6 == DG && var21 == RETROR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var6 == DG && var21 == RETROE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var6 == DD && var21 == RETROR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var6 == DD && var21 == RETROE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var6 == DG && var21 == RETROR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var6 == DG && var21 == RETROE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var6 == DD && var21 == RETROR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var6 == DD && var21 == RETROE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var6 == DG && var21 == RETROR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var6 == DG && var21 == RETROE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var6 == DD && var21 == RETROR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var6 == DD && var21 == RETROE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MA && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MA && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MA && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MA && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MA && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MA && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MA && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MA && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MA && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MA && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MA && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MA && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MC && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MC && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MC && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MC && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MC && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MC && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MC && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MC && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MC && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MC && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MC && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MC && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MD && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MD && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MD && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MD && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MD && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MD && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MD && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MD && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MD && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MD && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MD && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MD && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ME && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ME && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ME && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ME && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ME && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ME && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ME && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ME && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ME && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ME && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ME && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ME && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MF && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MF && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MF && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MF && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MF && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MF && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MF && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MF && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MK && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MK && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MK && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MK && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MK && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MK && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MK && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MK && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MK && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MK && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MK && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MK && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ML && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ML && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ML && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ML && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ML && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ML && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ML && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ML && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ML && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ML && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ML && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ML && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MM && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MM && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MM && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MM && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MM && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MM && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MM && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MM && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MM && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MM && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MM && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MM && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MS && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MS && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MS && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MS && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MS && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MS && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MS && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MS && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MS && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MS && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MS && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MS && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MT && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MT && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MT && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MT && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MT && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MT && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MT && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MT && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MT && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MT && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MT && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MT && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MU && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MU && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MU && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MU && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MU && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MU && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MU && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MU && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MU && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MU && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MU && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MU && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MN && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MN && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MN && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MN && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MN && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MN && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MN && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MN && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MN && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MN && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MN && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MN && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MH && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MH && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) ) || ( ( var1 == B64 && var2 == E2 && var3 == MH && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MH && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MH && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MH && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MH && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MH && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MH && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MH && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MH && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MH && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MH && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MY && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MY && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MY && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MY && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MY && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MY && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MY && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MY && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MY && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MY && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MY && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MY && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var6 == DG && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var6 == DG && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var6 == DD && var21 == RETROR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var6 == DD && var21 == RETROE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var6 == DD && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var6 == DD && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var6 == DD && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var6 == DG && var21 == RETROR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var6 == DG && var21 == RETROE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var6 == DG && var21 == RETROE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var6 == DD && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var6 == DG && var21 == RETROR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) ) ); ( ( ( var1 == S64 && var2 == E1 && var3 == M9 && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var14 == TN && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var14 == TN && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var14 == TO && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var14 == TO && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var14 == TN && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var14 == TN && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var14 == TO && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var14 == TO && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var14 == TN && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var14 == TN && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var14 == TO && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var14 == TO && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var14 == TN && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var14 == TN && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var14 == TO && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var14 == TO && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var14 == TN && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var14 == TN && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var14 == TO && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var14 == TO && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var14 == TN && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MA && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MA && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var14 == TN && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MA && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var14 == TO && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var14 == TO && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var14 == TN && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var14 == TN && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var14 == TO && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var14 == TO && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var14 == TN && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MC && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MC && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var14 == TN && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MC && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var14 == TO && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var14 == TO && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var14 == TN && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MD && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MD && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var14 == TN && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MD && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var14 == TO && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var14 == TO && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var14 == TN && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ME && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ME && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var14 == TN && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ME && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var14 == TO && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var14 == TO && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var14 == TN && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MF && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var14 == TN && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MF && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var14 == TO && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var14 == TO && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var14 == TN && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var14 == TN && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var14 == TO && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var14 == TO && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var14 == TN && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MK && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MK && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var14 == TN && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MK && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var14 == TO && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var14 == TO && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var14 == TN && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ML && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ML && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var14 == TN && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ML && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var14 == TO && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var14 == TO && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var14 == TN && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MM && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MM && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var14 == TN && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MM && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var14 == TO && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var14 == TO && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var14 == TN && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MS && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MS && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var14 == TN && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MS && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var14 == TO && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var14 == TO && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var14 == TN && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MT && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MT && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var14 == TN && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MT && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var14 == TO && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var14 == TO && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var14 == TN && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MU && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MU && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var14 == TN && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MU && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var14 == TO && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var14 == TO && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var14 == TN && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MN && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MN && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var14 == TN && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MN && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var14 == TO && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var14 == TO && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var14 == TN && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MH && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MH && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var14 == TN && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MH && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var14 == TO && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var14 == TO && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var14 == TN && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var14 == TN && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var14 == TO && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var14 == TO && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var14 == TN && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MY && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MY && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var14 == TN && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MY && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var14 == TO && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var14 == TO && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var14 == TN && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var14 == TN && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var14 == TO && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var14 == TO && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var14 == TN && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var14 == TN && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var14 == TO && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var14 == TO && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var14 == TN && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var14 == TN && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var14 == TO && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var14 == TO && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var14 == CAPVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var14 == CATOMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var14 == CATOEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var14 == CAPVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var14 == CATOMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var14 == CATOEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var14 == CAPVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var14 == CATOMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var14 == CATOEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var14 == CAPVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var14 == CAPVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var14 == CATOMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var14 == CATOEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var14 == CAPVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var14 == CATOMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var14 == CATOEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var14 == CATOMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var14 == CATOEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var14 == CATOMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var14 == CATOEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var14 == CATOMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var14 == CATOEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var14 == CATOEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var14 == CATOMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var14 == CATOEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var14 == CATOMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var14 == CATOEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MS && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MS && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MS && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MS && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MU && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MU && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MU && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MU && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MS && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MS && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MS && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MS && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MS && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MS && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MU && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MU && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MU && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MU && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MU && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MU && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var14 == TN && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var14 == TN && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var14 == TO && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var14 == TO && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var14 == TODEGO && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var14 == TODEGO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var14 == TODEGO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var14 == TODEGO && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var14 == TODEGO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var14 == TN && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var14 == TN && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var14 == TO && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var14 == TO && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var14 == TODEGO && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var14 == TODEGO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var14 == TODEGO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var14 == TODEGO && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var14 == TODEGO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var14 == TN && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var14 == TN && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var14 == TO && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var14 == TO && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var14 == TODEGO && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var14 == TODEGO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var14 == TODEGO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var14 == TODEGO && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var14 == TODEGO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var14 == TN && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var14 == TN && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var14 == TO && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var14 == TO && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var14 == TODEGO && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var14 == TODEGO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var14 == TODEGO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var14 == TODEGO && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var14 == TODEGO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var14 == TN && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var14 == TN && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var14 == TO && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var14 == TO && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var14 == TODEGO && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var14 == TODEGO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var14 == TODEGO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var14 == TODEGO && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var14 == TODEGO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var14 == TN && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var14 == TN && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var14 == TO && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var14 == TO && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var14 == TODEGO && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var14 == TODEGO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var14 == TODEGO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var14 == TODEGO && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var14 == TODEGO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var14 == TN && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var14 == TN && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var14 == TO && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var14 == TO && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var14 == TODEGO && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var14 == TODEGO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var14 == TODEGO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var14 == TODEGO && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var14 == TODEGO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var14 == TN && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var14 == TN && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var14 == TO && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var14 == TO && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var14 == TODEGO && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var14 == TODEGO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var14 == TODEGO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var14 == TODEGO && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var14 == TODEGO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var14 == TN && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var14 == TN && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var14 == TO && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var14 == TO && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var14 == TODEGO && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var14 == TODEGO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var14 == TODEGO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var14 == TODEGO && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var14 == TODEGO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var14 == TN && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var14 == TN && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var14 == TO && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var14 == TO && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var14 == TODEGO && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var14 == TODEGO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var14 == TODEGO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var14 == TODEGO && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var14 == TODEGO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var14 == TN && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var14 == TN && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var14 == TO && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var14 == TO && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var14 == TODEGO && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var14 == TODEGO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var14 == TODEGO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var14 == TODEGO && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var14 == TODEGO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var14 == TN && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var14 == TN && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var14 == TO && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var14 == TO && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var14 == TODEGO && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var14 == TODEGO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var14 == TODEGO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var14 == TODEGO && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var14 == TODEGO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var14 == TN && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var14 == TN && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var14 == TO && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var14 == TO && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var14 == TODEGO && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var14 == TODEGO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var14 == TODEGO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var14 == TODEGO && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var14 == TODEGO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var14 == TN && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var14 == TN && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var14 == TO && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var14 == TO && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var14 == TODEGO && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var14 == TODEGO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var14 == TODEGO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var14 == TODEGO && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var14 == TODEGO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var14 == TN && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var14 == TN && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var14 == TO && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var14 == TO && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var14 == TODEGO && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var14 == TODEGO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var14 == TODEGO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var14 == TODEGO && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var14 == TODEGO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var14 == TN && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var14 == TN && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var14 == TO && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var14 == TO && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var14 == TODEGO && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var14 == TODEGO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var14 == TODEGO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var14 == TODEGO && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var14 == TODEGO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var14 == TN && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var14 == TN && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var14 == TO && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var14 == TO && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var14 == TODEGO && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var14 == TODEGO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var14 == TODEGO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var14 == TODEGO && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var14 == TODEGO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var14 == TN && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var14 == TN && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var14 == TO && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var14 == TO && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var14 == TODEGO && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var14 == TODEGO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var14 == TODEGO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var14 == TODEGO && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var14 == TODEGO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var14 == TN && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var14 == TN && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var14 == TO && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var14 == TO && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var14 == TODEGO && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var14 == TODEGO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var14 == TODEGO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var14 == TODEGO && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var14 == TODEGO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var14 == TN && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var14 == TN && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var14 == TO && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var14 == TO && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var14 == TODEGO && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var14 == TODEGO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var14 == TODEGO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var14 == TODEGO && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var14 == TODEGO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var14 == TN && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var14 == TN && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var14 == TO && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var14 == TO && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var14 == TODEGO && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var14 == TODEGO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var14 == TODEGO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var14 == TODEGO && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var14 == TODEGO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var14 == TN && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var14 == TN && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var14 == TO && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var14 == TO && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var14 == TODEGO && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var14 == TODEGO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var14 == TODEGO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var14 == TODEGO && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var14 == TODEGO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var14 == TN && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var14 == TN && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var14 == TO && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var14 == TO && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var14 == TODEGO && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var14 == TODEGO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var14 == TODEGO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var14 == TODEGO && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var14 == TODEGO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var14 == TN && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var14 == TN && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var14 == TO && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var14 == TO && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var14 == TODEGO && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var14 == TODEGO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var14 == TODEGO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var14 == TODEGO && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var14 == TODEGO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var14 == TN && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var14 == TN && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var14 == TO && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var14 == TO && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var14 == TODEGO && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var14 == TODEGO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var14 == TODEGO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var14 == TODEGO && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var14 == TODEGO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var14 == TODEGO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var14 == TODEGO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var14 == TODEGO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var14 == TODEGO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var14 == TODEGO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var14 == TODEGO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var14 == TODEGO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var14 == TODEGO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var14 == TODEGO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var14 == TODEGO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var14 == TODEGO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var14 == TODEGO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var14 == TODEGO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var14 == TODEGO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var14 == TODEGO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var14 == TODEGO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var14 == TODEGO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var14 == TODEGO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var14 == TODEGO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var14 == TODEGO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var14 == TODEGO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var14 == TODEGO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var14 == TODEGO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var14 == TODEGO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var14 == TODEGO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var14 == TODEGO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var14 == TODEGO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var14 == TODEGO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var14 == TODEGO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var14 == TODEGO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var14 == TODEGO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var14 == TODEGO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var14 == TODEGO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var14 == TODEGO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var14 == TODEGO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var14 == TODEGO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var14 == TODEGO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var14 == TODEGO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var14 == TODEGO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var14 == TODEGO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var14 == TODEGO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var14 == TODEGO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var14 == TODEGO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var14 == TODEGO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var14 == TODEGO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var14 == TODEGO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var14 == TODEGO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var14 == TODEGO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var14 == TODEGO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var14 == TODEGO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MA && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MA && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MA && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MC && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MC && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MC && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MD && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MD && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MD && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ME && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ME && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ME && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MF && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MF && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MK && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MK && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MK && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ML && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ML && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ML && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MM && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MM && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MM && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MS && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MS && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MS && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MT && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MT && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MT && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MU && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MU && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MU && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MN && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MN && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MN && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MH && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MH && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MH && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MY && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MY && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MY && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var14 == TN && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var14 == TO && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var14 == TO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var14 == TN && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var14 == TN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var14 == TO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) ) ); ( ( ( var1 == S64 && var2 == E1 && var5 == FRAN && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == FRAN && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == FRAN && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == FRAN && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DOTO && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DOTO && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DOTO && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DOTO && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ALLE && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ALLE && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ALLE && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ALLE && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == AUTR && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == AUTR && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == AUTR && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == AUTR && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == BELG && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == BELG && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == BELG && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == BELG && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DANE && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DANE && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DANE && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DANE && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ESPA && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ESPA && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ESPA && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ESPA && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == FINL && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == FINL && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == FINL && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == FINL && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == GRBR && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == GRBR && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == GRBR && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == GRBR && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == GREC && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == GREC && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == GREC && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == GREC && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == HOLL && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == HOLL && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == HOLL && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == HOLL && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == IRLA && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == IRLA && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == IRLA && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == IRLA && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ISLA && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ISLA && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ISLA && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ISLA && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ITAL && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ITAL && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ITAL && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ITAL && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == NORV && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == NORV && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == NORV && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == NORV && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == PORT && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == PORT && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == PORT && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == PORT && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == SUED && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == SUED && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == SUED && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == SUED && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == SUIS && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == SUIS && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == SUIS && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == SUIS && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == YOUG && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == YOUG && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == YOUG && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == YOUG && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == MAGH && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == MAGH && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == MAGH && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == MAGH && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == TURQ && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == TURQ && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == TURQ && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == TURQ && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ARGE && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ARGE && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ARGE && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ARGE && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == BRES && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == BRES && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == BRES && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == BRES && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == CHIL && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == CHIL && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == CHIL && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == CHIL && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == COLO && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == COLO && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == COLO && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == COLO && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == AFSU && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == AFSU && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == AFSU && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == AFSU && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == MARO && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == MARO && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == MARO && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == MARO && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ISRA && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ISRA && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ISRA && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ISRA && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == HONG && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == HONG && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == HONG && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == HONG && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == TCHE && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == TCHE && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == TCHE && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == TCHE && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == POLO && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == POLO && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == POLO && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == POLO && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == SLVQ && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == SLVQ && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == SLVQ && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == SLVQ && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == JAPO && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == JAPO && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == JAPO && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == JAPO && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == TAIW && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == TAIW && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == TAIW && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == TAIW && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == AUST && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == AUST && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == AUST && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == AUST && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == URUG && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == URUG && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == URUG && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == URUG && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DAIB && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DAIB && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DAIB && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DAIB && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DAIC && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DAIC && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DAIC && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DAIC && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DAID && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DAID && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DAID && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DAID && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DAIF && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DAIF && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DAIF && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DAIF && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == EUOR && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == EUOR && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == EUOR && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == EUOR && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == CETI && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == CETI && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == CETI && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == CETI && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == FRAN && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == FRAN && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == FRAN && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == FRAN && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DOTO && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DOTO && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DOTO && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DOTO && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ALLE && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ALLE && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ALLE && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ALLE && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == AUTR && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == AUTR && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == AUTR && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == AUTR && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == BELG && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == BELG && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == BELG && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == BELG && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DANE && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DANE && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DANE && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DANE && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ESPA && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ESPA && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ESPA && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ESPA && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == FINL && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == FINL && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == FINL && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == FINL && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == GRBR && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == GRBR && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == GRBR && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == GRBR && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == GREC && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == GREC && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == GREC && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == GREC && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == HOLL && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == HOLL && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == HOLL && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == HOLL && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == IRLA && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == IRLA && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == IRLA && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == IRLA && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ISLA && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ISLA && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ISLA && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ISLA && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ITAL && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ITAL && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ITAL && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ITAL && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == NORV && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == NORV && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == NORV && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == NORV && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == PORT && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == PORT && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == PORT && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == PORT && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == SUED && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == SUED && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == SUED && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == SUED && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == SUIS && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == SUIS && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == SUIS && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == SUIS && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == YOUG && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == YOUG && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == YOUG && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == YOUG && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == MAGH && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == MAGH && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == MAGH && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == MAGH && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == TURQ && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == TURQ && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == TURQ && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == TURQ && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ARGE && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ARGE && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ARGE && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ARGE && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == BRES && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == BRES && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == BRES && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == BRES && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == CHIL && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == CHIL && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == CHIL && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == CHIL && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == COLO && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == COLO && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == COLO && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == COLO && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == AFSU && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == AFSU && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == AFSU && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == AFSU && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == MARO && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == MARO && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == MARO && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == MARO && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ISRA && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ISRA && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ISRA && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ISRA && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == HONG && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == HONG && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == HONG && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == HONG && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == TCHE && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == TCHE && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == TCHE && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == TCHE && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == POLO && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == POLO && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == POLO && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == POLO && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == SLVQ && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == SLVQ && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == SLVQ && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == SLVQ && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == JAPO && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == JAPO && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == JAPO && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == JAPO && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == TAIW && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == TAIW && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == TAIW && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == TAIW && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == AUST && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == AUST && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == AUST && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == AUST && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == URUG && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == URUG && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == URUG && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == URUG && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DAIB && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DAIB && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DAIB && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DAIB && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DAIC && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DAIC && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DAIC && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DAIC && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DAID && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DAID && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DAID && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DAID && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DAIF && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DAIF && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DAIF && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DAIF && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == EUOR && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == EUOR && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == EUOR && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == EUOR && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == CETI && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == CETI && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == CETI && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == CETI && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == FRAN && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == FRAN && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == FRAN && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == FRAN && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == FRAN && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == FRAN && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DOTO && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DOTO && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DOTO && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DOTO && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DOTO && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DOTO && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ALLE && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ALLE && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ALLE && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ALLE && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ALLE && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ALLE && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == AUTR && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == AUTR && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == AUTR && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == AUTR && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == AUTR && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == AUTR && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == BELG && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == BELG && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == BELG && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == BELG && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == BELG && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == BELG && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DANE && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DANE && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DANE && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DANE && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DANE && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DANE && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ESPA && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ESPA && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ESPA && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ESPA && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ESPA && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ESPA && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == FINL && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == FINL && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == FINL && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == FINL && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == FINL && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == FINL && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == GRBR && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == GRBR && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == GRBR && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == GRBR && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == GRBR && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == GRBR && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == GREC && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == GREC && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == GREC && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == GREC && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == GREC && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == GREC && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == HOLL && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == HOLL && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == HOLL && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == HOLL && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == HOLL && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == HOLL && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == IRLA && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == IRLA && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == IRLA && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == IRLA && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == IRLA && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == IRLA && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ISLA && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ISLA && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ISLA && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ISLA && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ISLA && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ISLA && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ITAL && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ITAL && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ITAL && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ITAL && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ITAL && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ITAL && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == NORV && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == NORV && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == NORV && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == NORV && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == NORV && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == NORV && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == PORT && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == PORT && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == PORT && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == PORT && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == PORT && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == PORT && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == SUED && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == SUED && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == SUED && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == SUED && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == SUED && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == SUED && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == SUIS && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == SUIS && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == SUIS && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == SUIS && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == SUIS && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == SUIS && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == YOUG && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == YOUG && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == YOUG && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == YOUG && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == YOUG && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == YOUG && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == MAGH && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == MAGH && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == MAGH && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == MAGH && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == MAGH && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == MAGH && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == TURQ && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == TURQ && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == TURQ && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == TURQ && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == TURQ && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == TURQ && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ARGE && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ARGE && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ARGE && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ARGE && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ARGE && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ARGE && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == BRES && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == BRES && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == BRES && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == BRES && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == BRES && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == BRES && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == CHIL && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == CHIL && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == CHIL && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == CHIL && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == CHIL && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == CHIL && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == COLO && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == COLO && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == COLO && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == COLO && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == COLO && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == COLO && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == AFSU && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == AFSU && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == AFSU && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == AFSU && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == AFSU && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == AFSU && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == MARO && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == MARO && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == MARO && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == MARO && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == MARO && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == MARO && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ISRA && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ISRA && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ISRA && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ISRA && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ISRA && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ISRA && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == HONG && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == HONG && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == HONG && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == HONG && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == HONG && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == HONG && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == TCHE && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == TCHE && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == TCHE && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == TCHE && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == TCHE && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == TCHE && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == POLO && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == POLO && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == POLO && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == POLO && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == POLO && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == POLO && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == SLVQ && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == SLVQ && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == SLVQ && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == SLVQ && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == SLVQ && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == SLVQ && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == JAPO && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == JAPO && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == JAPO && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == JAPO && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == JAPO && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == JAPO && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == TAIW && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == TAIW && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == TAIW && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == TAIW && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == TAIW && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == TAIW && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == AUST && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == AUST && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == AUST && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == AUST && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == AUST && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == AUST && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == URUG && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == URUG && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == URUG && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == URUG && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == URUG && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == URUG && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAIB && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAIB && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAIB && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAIB && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAIB && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAIB && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAIC && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAIC && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAIC && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAIC && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAIC && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAIC && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAID && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAID && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAID && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAID && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAID && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAID && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAIF && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAIF && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAIF && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAIF && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAIF && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAIF && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == EUOR && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == EUOR && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == EUOR && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == EUOR && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == EUOR && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == EUOR && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == CETI && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == CETI && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == CETI && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == CETI && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == CETI && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == CETI && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == FRAN && var23 == SPROJA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == FRAN && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == FRAN && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == FRAN && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == FRAN && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == FRAN && var23 == SPROJA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == FRAN && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == FRAN && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == FRAN && var23 == PROJAB && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == FRAN && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == FRAN && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == FRAN && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == FRAN && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == FRAN && var23 == PROJAB && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == FRAN && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == FRAN && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DOTO && var23 == SPROJA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DOTO && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DOTO && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DOTO && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == DOTO && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DOTO && var23 == SPROJA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DOTO && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DOTO && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DOTO && var23 == PROJAB && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DOTO && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DOTO && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DOTO && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == DOTO && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DOTO && var23 == PROJAB && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DOTO && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DOTO && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ALLE && var23 == SPROJA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ALLE && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ALLE && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ALLE && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == ALLE && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ALLE && var23 == SPROJA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ALLE && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ALLE && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ALLE && var23 == PROJAB && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ALLE && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ALLE && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ALLE && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == ALLE && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ALLE && var23 == PROJAB && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ALLE && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ALLE && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AUTR && var23 == SPROJA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AUTR && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == AUTR && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AUTR && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == AUTR && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AUTR && var23 == SPROJA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AUTR && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == AUTR && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AUTR && var23 == PROJAB && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AUTR && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == AUTR && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AUTR && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == AUTR && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AUTR && var23 == PROJAB && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AUTR && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == AUTR && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == BELG && var23 == SPROJA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == BELG && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == BELG && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == BELG && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == BELG && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == BELG && var23 == SPROJA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == BELG && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == BELG && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == BELG && var23 == PROJAB && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == BELG && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == BELG && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == BELG && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == BELG && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == BELG && var23 == PROJAB && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == BELG && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == BELG && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DANE && var23 == SPROJA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DANE && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DANE && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DANE && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == DANE && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DANE && var23 == SPROJA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DANE && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DANE && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DANE && var23 == PROJAB && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DANE && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DANE && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DANE && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == DANE && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DANE && var23 == PROJAB && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DANE && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DANE && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ESPA && var23 == SPROJA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ESPA && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ESPA && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ESPA && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == ESPA && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ESPA && var23 == SPROJA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ESPA && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ESPA && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ESPA && var23 == PROJAB && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ESPA && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ESPA && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ESPA && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == ESPA && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ESPA && var23 == PROJAB && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ESPA && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ESPA && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == FINL && var23 == SPROJA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == FINL && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == FINL && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == FINL && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == FINL && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == FINL && var23 == SPROJA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == FINL && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == FINL && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == FINL && var23 == PROJAB && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == FINL && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == FINL && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == FINL && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == FINL && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == FINL && var23 == PROJAB && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == FINL && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == FINL && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GRBR && var23 == SPROJA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GRBR && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == GRBR && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GRBR && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == GRBR && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GRBR && var23 == SPROJA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GRBR && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == GRBR && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GRBR && var23 == PROJAB && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GRBR && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == GRBR && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GRBR && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == GRBR && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GRBR && var23 == PROJAB && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GRBR && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == GRBR && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GREC && var23 == SPROJA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GREC && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == GREC && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GREC && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == GREC && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GREC && var23 == SPROJA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GREC && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == GREC && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GREC && var23 == PROJAB && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GREC && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == GREC && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GREC && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == GREC && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GREC && var23 == PROJAB && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GREC && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == GREC && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HOLL && var23 == SPROJA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HOLL && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == HOLL && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HOLL && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == HOLL && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HOLL && var23 == SPROJA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HOLL && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == HOLL && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HOLL && var23 == PROJAB && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HOLL && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == HOLL && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HOLL && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == HOLL && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HOLL && var23 == PROJAB && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HOLL && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == HOLL && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == IRLA && var23 == SPROJA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == IRLA && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == IRLA && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == IRLA && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == IRLA && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == IRLA && var23 == SPROJA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == IRLA && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == IRLA && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == IRLA && var23 == PROJAB && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == IRLA && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == IRLA && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == IRLA && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == IRLA && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == IRLA && var23 == PROJAB && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == IRLA && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == IRLA && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ISLA && var23 == SPROJA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ISLA && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ISLA && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ISLA && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == ISLA && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ISLA && var23 == SPROJA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ISLA && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ISLA && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ISLA && var23 == PROJAB && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ISLA && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ISLA && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ISLA && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == ISLA && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ISLA && var23 == PROJAB && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ISLA && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ISLA && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ITAL && var23 == SPROJA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ITAL && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ITAL && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ITAL && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == ITAL && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ITAL && var23 == SPROJA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ITAL && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ITAL && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ITAL && var23 == PROJAB && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ITAL && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ITAL && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ITAL && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == ITAL && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ITAL && var23 == PROJAB && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ITAL && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ITAL && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == NORV && var23 == SPROJA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == NORV && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == NORV && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == NORV && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == NORV && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == NORV && var23 == SPROJA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == NORV && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == NORV && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == NORV && var23 == PROJAB && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == NORV && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == NORV && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == NORV && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == NORV && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == NORV && var23 == PROJAB && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == NORV && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == NORV && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == PORT && var23 == SPROJA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == PORT && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == PORT && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == PORT && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == PORT && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == PORT && var23 == SPROJA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == PORT && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == PORT && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == PORT && var23 == PROJAB && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == PORT && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == PORT && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == PORT && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == PORT && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == PORT && var23 == PROJAB && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == PORT && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == PORT && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SUED && var23 == SPROJA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SUED && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == SUED && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SUED && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == SUED && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SUED && var23 == SPROJA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SUED && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == SUED && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SUED && var23 == PROJAB && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SUED && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == SUED && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SUED && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == SUED && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SUED && var23 == PROJAB && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SUED && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == SUED && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SUIS && var23 == SPROJA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SUIS && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == SUIS && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SUIS && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == SUIS && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SUIS && var23 == SPROJA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SUIS && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == SUIS && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SUIS && var23 == PROJAB && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SUIS && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == SUIS && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SUIS && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == SUIS && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SUIS && var23 == PROJAB && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SUIS && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == SUIS && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == YOUG && var23 == SPROJA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == YOUG && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == YOUG && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == YOUG && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == YOUG && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == YOUG && var23 == SPROJA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == YOUG && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == YOUG && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == YOUG && var23 == PROJAB && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == YOUG && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == YOUG && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == YOUG && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == YOUG && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == YOUG && var23 == PROJAB && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == YOUG && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == YOUG && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == MAGH && var23 == SPROJA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == MAGH && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == MAGH && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == MAGH && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == MAGH && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == MAGH && var23 == SPROJA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == MAGH && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == MAGH && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == MAGH && var23 == PROJAB && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == MAGH && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == MAGH && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == MAGH && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == MAGH && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == MAGH && var23 == PROJAB && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == MAGH && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == MAGH && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TURQ && var23 == SPROJA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TURQ && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == TURQ && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TURQ && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == TURQ && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TURQ && var23 == SPROJA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TURQ && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == TURQ && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TURQ && var23 == PROJAB && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TURQ && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == TURQ && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TURQ && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == TURQ && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TURQ && var23 == PROJAB && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TURQ && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == TURQ && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ARGE && var23 == SPROJA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ARGE && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ARGE && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ARGE && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == ARGE && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ARGE && var23 == SPROJA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ARGE && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ARGE && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ARGE && var23 == PROJAB && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ARGE && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ARGE && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ARGE && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == ARGE && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ARGE && var23 == PROJAB && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ARGE && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ARGE && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == BRES && var23 == SPROJA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == BRES && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == BRES && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == BRES && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == BRES && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == BRES && var23 == SPROJA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == BRES && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == BRES && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == BRES && var23 == PROJAB && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == BRES && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == BRES && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == BRES && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == BRES && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == BRES && var23 == PROJAB && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == BRES && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == BRES && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == CHIL && var23 == SPROJA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == CHIL && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == CHIL && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == CHIL && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == CHIL && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == CHIL && var23 == SPROJA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == CHIL && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == CHIL && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == CHIL && var23 == PROJAB && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == CHIL && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == CHIL && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == CHIL && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == CHIL && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == CHIL && var23 == PROJAB && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == CHIL && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == CHIL && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == COLO && var23 == SPROJA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == COLO && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == COLO && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == COLO && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == COLO && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == COLO && var23 == SPROJA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == COLO && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == COLO && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == COLO && var23 == PROJAB && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == COLO && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == COLO && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == COLO && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == COLO && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == COLO && var23 == PROJAB && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == COLO && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == COLO && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AFSU && var23 == SPROJA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AFSU && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == AFSU && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AFSU && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == AFSU && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AFSU && var23 == SPROJA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AFSU && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == AFSU && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AFSU && var23 == PROJAB && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AFSU && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == AFSU && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AFSU && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == AFSU && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AFSU && var23 == PROJAB && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AFSU && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == AFSU && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == MARO && var23 == SPROJA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == MARO && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == MARO && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == MARO && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == MARO && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == MARO && var23 == SPROJA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == MARO && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == MARO && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == MARO && var23 == PROJAB && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == MARO && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == MARO && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == MARO && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == MARO && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == MARO && var23 == PROJAB && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == MARO && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == MARO && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ISRA && var23 == SPROJA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ISRA && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ISRA && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ISRA && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == ISRA && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ISRA && var23 == SPROJA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ISRA && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ISRA && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ISRA && var23 == PROJAB && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ISRA && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ISRA && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ISRA && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == ISRA && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ISRA && var23 == PROJAB && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ISRA && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ISRA && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HONG && var23 == SPROJA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HONG && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == HONG && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HONG && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == HONG && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HONG && var23 == SPROJA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HONG && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == HONG && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HONG && var23 == PROJAB && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HONG && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == HONG && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HONG && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == HONG && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HONG && var23 == PROJAB && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HONG && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == HONG && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TCHE && var23 == SPROJA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TCHE && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == TCHE && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TCHE && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == TCHE && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TCHE && var23 == SPROJA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TCHE && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == TCHE && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TCHE && var23 == PROJAB && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TCHE && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == TCHE && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TCHE && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == TCHE && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TCHE && var23 == PROJAB && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TCHE && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == TCHE && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == POLO && var23 == SPROJA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == POLO && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == POLO && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == POLO && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == POLO && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == POLO && var23 == SPROJA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == POLO && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == POLO && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == POLO && var23 == PROJAB && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == POLO && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == POLO && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == POLO && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == POLO && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == POLO && var23 == PROJAB && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == POLO && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == POLO && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SLVQ && var23 == SPROJA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SLVQ && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == SLVQ && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SLVQ && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == SLVQ && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SLVQ && var23 == SPROJA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SLVQ && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == SLVQ && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SLVQ && var23 == PROJAB && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SLVQ && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == SLVQ && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SLVQ && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == SLVQ && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SLVQ && var23 == PROJAB && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SLVQ && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == SLVQ && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == JAPO && var23 == SPROJA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == JAPO && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == JAPO && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == JAPO && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == JAPO && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == JAPO && var23 == SPROJA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == JAPO && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == JAPO && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == JAPO && var23 == PROJAB && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == JAPO && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == JAPO && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == JAPO && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == JAPO && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == JAPO && var23 == PROJAB && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == JAPO && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == JAPO && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TAIW && var23 == SPROJA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TAIW && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == TAIW && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TAIW && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == TAIW && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TAIW && var23 == SPROJA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TAIW && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == TAIW && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TAIW && var23 == PROJAB && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TAIW && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == TAIW && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TAIW && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == TAIW && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TAIW && var23 == PROJAB && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TAIW && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == TAIW && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AUST && var23 == SPROJA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AUST && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == AUST && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AUST && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == AUST && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AUST && var23 == SPROJA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AUST && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == AUST && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AUST && var23 == PROJAB && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AUST && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == AUST && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AUST && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == AUST && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AUST && var23 == PROJAB && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AUST && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == AUST && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == URUG && var23 == SPROJA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == URUG && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == URUG && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == URUG && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == URUG && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == URUG && var23 == SPROJA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == URUG && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == URUG && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == URUG && var23 == PROJAB && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == URUG && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == URUG && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == URUG && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == URUG && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == URUG && var23 == PROJAB && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == URUG && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == URUG && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIB && var23 == SPROJA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIB && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DAIB && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIB && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == DAIB && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIB && var23 == SPROJA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIB && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DAIB && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIB && var23 == PROJAB && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIB && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DAIB && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIB && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == DAIB && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIB && var23 == PROJAB && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIB && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DAIB && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIC && var23 == SPROJA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIC && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DAIC && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIC && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == DAIC && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIC && var23 == SPROJA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIC && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DAIC && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIC && var23 == PROJAB && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIC && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DAIC && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIC && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == DAIC && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIC && var23 == PROJAB && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIC && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DAIC && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAID && var23 == SPROJA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAID && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DAID && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAID && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == DAID && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAID && var23 == SPROJA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAID && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DAID && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAID && var23 == PROJAB && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAID && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DAID && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAID && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == DAID && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAID && var23 == PROJAB && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAID && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DAID && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIF && var23 == SPROJA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIF && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DAIF && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIF && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == DAIF && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIF && var23 == SPROJA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIF && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DAIF && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIF && var23 == PROJAB && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIF && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DAIF && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIF && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == DAIF && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIF && var23 == PROJAB && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIF && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DAIF && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == EUOR && var23 == SPROJA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == EUOR && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == EUOR && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == EUOR && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == EUOR && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == EUOR && var23 == SPROJA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == EUOR && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == EUOR && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == EUOR && var23 == PROJAB && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == EUOR && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == EUOR && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == EUOR && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == EUOR && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == EUOR && var23 == PROJAB && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == EUOR && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == EUOR && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == CETI && var23 == SPROJA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == CETI && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == CETI && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == CETI && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == CETI && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == CETI && var23 == SPROJA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == CETI && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == CETI && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == CETI && var23 == PROJAB && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == CETI && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == CETI && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == CETI && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == CETI && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == CETI && var23 == PROJAB && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == CETI && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == CETI && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == FRAN && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == FRAN && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DOTO && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DOTO && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ALLE && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ALLE && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == AUTR && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == AUTR && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == BELG && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == BELG && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DANE && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DANE && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ESPA && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ESPA && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == FINL && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == FINL && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == GRBR && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == GRBR && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == GREC && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == GREC && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == HOLL && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == HOLL && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == IRLA && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == IRLA && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ISLA && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ISLA && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ITAL && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ITAL && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == NORV && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == NORV && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == PORT && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == PORT && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == SUED && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == SUED && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == SUIS && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == SUIS && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == YOUG && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == YOUG && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == MAGH && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == MAGH && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == TURQ && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == TURQ && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ARGE && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ARGE && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == BRES && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == BRES && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == CHIL && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == CHIL && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == COLO && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == COLO && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == AFSU && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == AFSU && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == MARO && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == MARO && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ISRA && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ISRA && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == HONG && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == HONG && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == TCHE && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == TCHE && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == POLO && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == POLO && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == SLVQ && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == SLVQ && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == JAPO && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == JAPO && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == TAIW && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == TAIW && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == AUST && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == AUST && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == URUG && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == URUG && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DAIB && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DAIB && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DAIC && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DAIC && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DAID && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DAID && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DAIF && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DAIF && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == EUOR && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == EUOR && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == CETI && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == CETI && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == FRAN && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == FRAN && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == DOTO && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == DOTO && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == ALLE && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == ALLE && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == AUTR && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == AUTR && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == BELG && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == BELG && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == DANE && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == DANE && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == ESPA && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == ESPA && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == FINL && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == GRBR && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == GRBR && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == GREC && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == GREC && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == HOLL && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == HOLL && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == IRLA && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == IRLA && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == ISLA && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == ISLA && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == ITAL && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == ITAL && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == NORV && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == PORT && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == PORT && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == SUED && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == SUIS && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == SUIS && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == YOUG && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == YOUG && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == MAGH && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == MAGH && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == TURQ && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == TURQ && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == ARGE && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == ARGE && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == BRES && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == BRES && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == CHIL && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == CHIL && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == COLO && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == COLO && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == AFSU && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == AFSU && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == MARO && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == MARO && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == ISRA && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == ISRA && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == HONG && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == HONG && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == TCHE && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == POLO && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == POLO && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == SLVQ && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == SLVQ && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == JAPO && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == JAPO && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == TAIW && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == TAIW && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == AUST && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == AUST && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == URUG && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == URUG && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == DAIB && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == DAIB && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == DAIC && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == DAIC && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == DAID && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == DAID && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == DAIF && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == DAIF && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == EUOR && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == EUOR && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == CETI && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == CETI && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == FRAN && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == DOTO && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == ALLE && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == AUTR && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == BELG && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == DANE && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == ESPA && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == FINL && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == GRBR && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == GREC && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == HOLL && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == IRLA && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == ISLA && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == ITAL && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == NORV && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == PORT && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == SUED && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == SUIS && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == YOUG && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == MAGH && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == TURQ && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == ARGE && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == BRES && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == CHIL && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == COLO && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == AFSU && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == MARO && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == ISRA && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == HONG && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == TCHE && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == POLO && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == SLVQ && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == JAPO && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == TAIW && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == AUST && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == URUG && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == DAIB && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == DAIC && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == DAID && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == DAIF && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == EUOR && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == CETI && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == FRAN && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == FRAN && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == FRAN && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == FRAN && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DOTO && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DOTO && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DOTO && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DOTO && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ALLE && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ALLE && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ALLE && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ALLE && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == AUTR && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == AUTR && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == AUTR && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == AUTR && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == BELG && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == BELG && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == BELG && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == BELG && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DANE && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DANE && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DANE && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DANE && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ESPA && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ESPA && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ESPA && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ESPA && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == FINL && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == FINL && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == GRBR && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == GRBR && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == GRBR && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == GRBR && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == GREC && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == GREC && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == GREC && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == GREC && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == HOLL && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == HOLL && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == HOLL && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == HOLL && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == IRLA && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == IRLA && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == IRLA && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == IRLA && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ISLA && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ISLA && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ISLA && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ISLA && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ITAL && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ITAL && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ITAL && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ITAL && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == NORV && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == NORV && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == PORT && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == PORT && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == PORT && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == PORT && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == SUED && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == SUED && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == SUIS && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == SUIS && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == SUIS && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == SUIS && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == YOUG && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == YOUG && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == YOUG && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == YOUG && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == MAGH && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == MAGH && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == MAGH && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == MAGH && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == TURQ && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == TURQ && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == TURQ && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == TURQ && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ARGE && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ARGE && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ARGE && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ARGE && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == BRES && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == BRES && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == BRES && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == BRES && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == CHIL && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == CHIL && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == CHIL && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == CHIL && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == COLO && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == COLO && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == COLO && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == COLO && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == AFSU && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == AFSU && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == AFSU && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == AFSU && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == MARO && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == MARO && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == MARO && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == MARO && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ISRA && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ISRA && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ISRA && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ISRA && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == HONG && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == HONG && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == HONG && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == HONG && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == TCHE && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == TCHE && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == POLO && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == POLO && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == POLO && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == POLO && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == SLVQ && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == SLVQ && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == SLVQ && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == SLVQ && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == JAPO && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == JAPO && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == JAPO && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == JAPO && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == TAIW && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == TAIW && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == TAIW && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == TAIW && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == AUST && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == AUST && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == AUST && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == AUST && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == URUG && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == URUG && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == URUG && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == URUG && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DAIB && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DAIB && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DAIB && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DAIB && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DAIC && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DAIC && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DAIC && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DAIC && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DAID && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DAID && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DAID && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DAID && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DAIF && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DAIF && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DAIF && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DAIF && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == EUOR && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == EUOR && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == EUOR && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == EUOR && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == CETI && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == CETI && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == CETI && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == CETI && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == FRAN && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == FRAN && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == FRAN && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DOTO && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DOTO && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DOTO && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ALLE && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ALLE && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ALLE && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == AUTR && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == AUTR && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == AUTR && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == BELG && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == BELG && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == BELG && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DANE && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DANE && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DANE && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ESPA && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ESPA && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ESPA && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == FINL && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == FINL && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == FINL && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == GRBR && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == GRBR && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == GRBR && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == GREC && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == GREC && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == GREC && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == HOLL && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == HOLL && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == HOLL && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == IRLA && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == IRLA && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == IRLA && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ISLA && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ISLA && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ISLA && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ITAL && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ITAL && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ITAL && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == NORV && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == NORV && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == NORV && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == PORT && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == PORT && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == PORT && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == SUED && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == SUED && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == SUED && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == SUIS && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == SUIS && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == SUIS && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == YOUG && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == YOUG && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == YOUG && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == MAGH && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == MAGH && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == MAGH && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == TURQ && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == TURQ && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == TURQ && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ARGE && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ARGE && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ARGE && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == BRES && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == BRES && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == BRES && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == CHIL && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == CHIL && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == CHIL && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == COLO && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == COLO && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == COLO && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == AFSU && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == AFSU && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == AFSU && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == MARO && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == MARO && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == MARO && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ISRA && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ISRA && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ISRA && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == HONG && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == HONG && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == HONG && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == TCHE && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == TCHE && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == TCHE && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == POLO && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == POLO && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == POLO && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == SLVQ && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == SLVQ && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == SLVQ && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == JAPO && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == JAPO && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == JAPO && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == TAIW && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == TAIW && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == TAIW && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == AUST && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == AUST && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == AUST && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == URUG && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == URUG && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == URUG && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DAIB && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DAIB && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DAIB && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DAIC && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DAIC && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DAIC && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DAID && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DAID && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DAID && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DAIF && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DAIF && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DAIF && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == EUOR && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == EUOR && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == EUOR && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == CETI && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == CETI && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == CETI && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FRAN && var23 == SPROJA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FRAN && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FRAN && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FRAN && var23 == SPROJA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FRAN && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FRAN && var23 == PROJAB && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FRAN && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FRAN && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FRAN && var23 == PROJAB && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FRAN && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DOTO && var23 == SPROJA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DOTO && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DOTO && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DOTO && var23 == SPROJA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DOTO && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DOTO && var23 == PROJAB && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DOTO && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DOTO && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DOTO && var23 == PROJAB && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DOTO && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ALLE && var23 == SPROJA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ALLE && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ALLE && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ALLE && var23 == SPROJA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ALLE && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ALLE && var23 == PROJAB && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ALLE && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ALLE && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ALLE && var23 == PROJAB && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ALLE && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AUTR && var23 == SPROJA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AUTR && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AUTR && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AUTR && var23 == SPROJA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AUTR && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AUTR && var23 == PROJAB && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AUTR && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AUTR && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AUTR && var23 == PROJAB && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AUTR && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == BELG && var23 == SPROJA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == BELG && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == BELG && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == BELG && var23 == SPROJA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == BELG && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == BELG && var23 == PROJAB && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == BELG && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == BELG && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == BELG && var23 == PROJAB && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == BELG && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DANE && var23 == SPROJA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DANE && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DANE && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DANE && var23 == SPROJA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DANE && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DANE && var23 == PROJAB && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DANE && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DANE && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DANE && var23 == PROJAB && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DANE && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ESPA && var23 == SPROJA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ESPA && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ESPA && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ESPA && var23 == SPROJA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ESPA && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ESPA && var23 == PROJAB && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ESPA && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ESPA && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ESPA && var23 == PROJAB && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ESPA && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FINL && var23 == SPROJA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FINL && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FINL && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FINL && var23 == SPROJA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FINL && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FINL && var23 == PROJAB && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FINL && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FINL && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FINL && var23 == PROJAB && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FINL && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == GRBR && var23 == SPROJA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == GRBR && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == GRBR && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == GRBR && var23 == SPROJA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == GRBR && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == GRBR && var23 == PROJAB && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == GRBR && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == GRBR && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == GRBR && var23 == PROJAB && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == GRBR && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == GREC && var23 == SPROJA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == GREC && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == GREC && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == GREC && var23 == SPROJA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == GREC && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == GREC && var23 == PROJAB && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == GREC && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == GREC && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == GREC && var23 == PROJAB && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == GREC && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == HOLL && var23 == SPROJA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == HOLL && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == HOLL && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == HOLL && var23 == SPROJA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == HOLL && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == HOLL && var23 == PROJAB && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == HOLL && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == HOLL && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == HOLL && var23 == PROJAB && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == HOLL && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == IRLA && var23 == SPROJA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == IRLA && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == IRLA && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == IRLA && var23 == SPROJA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == IRLA && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == IRLA && var23 == PROJAB && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == IRLA && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == IRLA && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == IRLA && var23 == PROJAB && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == IRLA && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ISLA && var23 == SPROJA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ISLA && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ISLA && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ISLA && var23 == SPROJA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ISLA && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ISLA && var23 == PROJAB && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ISLA && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ISLA && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ISLA && var23 == PROJAB && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ISLA && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ITAL && var23 == SPROJA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ITAL && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ITAL && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ITAL && var23 == SPROJA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ITAL && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ITAL && var23 == PROJAB && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ITAL && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ITAL && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ITAL && var23 == PROJAB && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ITAL && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == NORV && var23 == SPROJA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == NORV && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == NORV && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == NORV && var23 == SPROJA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == NORV && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == NORV && var23 == PROJAB && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == NORV && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == NORV && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == NORV && var23 == PROJAB && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == NORV && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == PORT && var23 == SPROJA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == PORT && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == PORT && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == PORT && var23 == SPROJA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == PORT && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == PORT && var23 == PROJAB && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == PORT && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == PORT && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == PORT && var23 == PROJAB && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == PORT && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SUED && var23 == SPROJA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SUED && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SUED && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SUED && var23 == SPROJA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SUED && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SUED && var23 == PROJAB && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SUED && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SUED && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SUED && var23 == PROJAB && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SUED && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SUIS && var23 == SPROJA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SUIS && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SUIS && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SUIS && var23 == SPROJA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SUIS && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SUIS && var23 == PROJAB && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SUIS && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SUIS && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SUIS && var23 == PROJAB && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SUIS && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == YOUG && var23 == SPROJA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == YOUG && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == YOUG && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == YOUG && var23 == SPROJA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == YOUG && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == YOUG && var23 == PROJAB && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == YOUG && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == YOUG && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == YOUG && var23 == PROJAB && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == YOUG && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == MAGH && var23 == SPROJA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == MAGH && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == MAGH && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == MAGH && var23 == SPROJA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == MAGH && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == MAGH && var23 == PROJAB && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == MAGH && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == MAGH && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == MAGH && var23 == PROJAB && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == MAGH && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TURQ && var23 == SPROJA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TURQ && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TURQ && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TURQ && var23 == SPROJA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TURQ && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TURQ && var23 == PROJAB && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TURQ && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TURQ && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TURQ && var23 == PROJAB && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TURQ && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ARGE && var23 == SPROJA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ARGE && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ARGE && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ARGE && var23 == SPROJA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ARGE && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ARGE && var23 == PROJAB && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ARGE && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ARGE && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ARGE && var23 == PROJAB && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ARGE && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == BRES && var23 == SPROJA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == BRES && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == BRES && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == BRES && var23 == SPROJA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == BRES && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == BRES && var23 == PROJAB && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == BRES && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == BRES && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == BRES && var23 == PROJAB && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == BRES && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == CHIL && var23 == SPROJA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == CHIL && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == CHIL && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == CHIL && var23 == SPROJA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == CHIL && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == CHIL && var23 == PROJAB && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == CHIL && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == CHIL && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == CHIL && var23 == PROJAB && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == CHIL && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == COLO && var23 == SPROJA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == COLO && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == COLO && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == COLO && var23 == SPROJA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == COLO && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == COLO && var23 == PROJAB && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == COLO && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == COLO && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == COLO && var23 == PROJAB && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == COLO && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AFSU && var23 == SPROJA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AFSU && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AFSU && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AFSU && var23 == SPROJA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AFSU && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AFSU && var23 == PROJAB && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AFSU && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AFSU && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AFSU && var23 == PROJAB && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AFSU && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == MARO && var23 == SPROJA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == MARO && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == MARO && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == MARO && var23 == SPROJA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == MARO && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == MARO && var23 == PROJAB && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == MARO && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == MARO && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == MARO && var23 == PROJAB && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == MARO && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ISRA && var23 == SPROJA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ISRA && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ISRA && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ISRA && var23 == SPROJA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ISRA && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ISRA && var23 == PROJAB && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ISRA && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ISRA && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ISRA && var23 == PROJAB && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ISRA && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == HONG && var23 == SPROJA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == HONG && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == HONG && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == HONG && var23 == SPROJA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == HONG && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == HONG && var23 == PROJAB && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == HONG && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == HONG && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == HONG && var23 == PROJAB && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == HONG && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TCHE && var23 == SPROJA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TCHE && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TCHE && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TCHE && var23 == SPROJA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TCHE && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TCHE && var23 == PROJAB && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TCHE && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TCHE && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TCHE && var23 == PROJAB && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TCHE && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == POLO && var23 == SPROJA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == POLO && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == POLO && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == POLO && var23 == SPROJA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == POLO && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == POLO && var23 == PROJAB && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == POLO && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == POLO && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == POLO && var23 == PROJAB && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == POLO && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SLVQ && var23 == SPROJA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SLVQ && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SLVQ && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SLVQ && var23 == SPROJA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SLVQ && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SLVQ && var23 == PROJAB && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SLVQ && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SLVQ && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SLVQ && var23 == PROJAB && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SLVQ && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == JAPO && var23 == SPROJA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == JAPO && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == JAPO && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == JAPO && var23 == SPROJA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == JAPO && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == JAPO && var23 == PROJAB && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == JAPO && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == JAPO && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == JAPO && var23 == PROJAB && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == JAPO && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TAIW && var23 == SPROJA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TAIW && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TAIW && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TAIW && var23 == SPROJA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TAIW && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TAIW && var23 == PROJAB && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TAIW && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TAIW && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TAIW && var23 == PROJAB && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TAIW && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AUST && var23 == SPROJA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AUST && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AUST && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AUST && var23 == SPROJA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AUST && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AUST && var23 == PROJAB && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AUST && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AUST && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AUST && var23 == PROJAB && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AUST && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == URUG && var23 == SPROJA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == URUG && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == URUG && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == URUG && var23 == SPROJA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == URUG && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == URUG && var23 == PROJAB && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == URUG && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == URUG && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == URUG && var23 == PROJAB && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == URUG && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIB && var23 == SPROJA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIB && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIB && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIB && var23 == SPROJA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIB && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIB && var23 == PROJAB && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIB && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIB && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIB && var23 == PROJAB && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIB && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIC && var23 == SPROJA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIC && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIC && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIC && var23 == SPROJA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIC && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIC && var23 == PROJAB && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIC && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIC && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIC && var23 == PROJAB && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIC && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAID && var23 == SPROJA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAID && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAID && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAID && var23 == SPROJA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAID && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAID && var23 == PROJAB && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAID && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAID && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAID && var23 == PROJAB && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAID && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIF && var23 == SPROJA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIF && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIF && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIF && var23 == SPROJA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIF && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIF && var23 == PROJAB && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIF && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIF && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIF && var23 == PROJAB && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIF && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == EUOR && var23 == SPROJA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == EUOR && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == EUOR && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == EUOR && var23 == SPROJA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == EUOR && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == EUOR && var23 == PROJAB && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == EUOR && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == EUOR && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == EUOR && var23 == PROJAB && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == EUOR && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == CETI && var23 == SPROJA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == CETI && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == CETI && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == CETI && var23 == SPROJA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == CETI && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == CETI && var23 == PROJAB && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == CETI && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == CETI && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == CETI && var23 == PROJAB && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == CETI && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == FRAN && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == FRAN && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DOTO && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DOTO && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ALLE && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ALLE && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == AUTR && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == AUTR && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == BELG && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == BELG && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DANE && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DANE && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ESPA && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ESPA && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == FINL && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == FINL && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == GRBR && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == GRBR && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == GREC && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == GREC && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == HOLL && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == HOLL && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == IRLA && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == IRLA && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ISLA && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ISLA && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ITAL && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ITAL && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == NORV && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == NORV && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == PORT && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == PORT && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == SUED && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == SUED && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == SUIS && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == SUIS && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == YOUG && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == YOUG && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == MAGH && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == MAGH && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == TURQ && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == TURQ && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ARGE && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ARGE && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == BRES && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == BRES && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == CHIL && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == CHIL && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == COLO && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == COLO && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == AFSU && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == AFSU && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == MARO && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == MARO && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ISRA && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ISRA && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == HONG && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == HONG && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == TCHE && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == TCHE && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == POLO && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == POLO && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == SLVQ && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == SLVQ && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == JAPO && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == JAPO && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == TAIW && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == TAIW && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == AUST && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == AUST && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == URUG && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == URUG && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DAIB && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DAIB && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DAIC && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DAIC && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DAID && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DAID && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DAIF && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DAIF && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == EUOR && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == EUOR && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == CETI && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == CETI && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == FRAN && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == FRAN && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == FRAN && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == FRAN && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == FRAN && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == FRAN && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DOTO && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DOTO && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DOTO && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DOTO && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DOTO && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DOTO && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ALLE && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ALLE && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ALLE && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ALLE && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ALLE && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ALLE && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == AUTR && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == AUTR && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == AUTR && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == AUTR && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == AUTR && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == AUTR && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == BELG && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == BELG && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == BELG && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == BELG && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == BELG && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == BELG && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DANE && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DANE && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DANE && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DANE && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DANE && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DANE && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ESPA && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ESPA && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ESPA && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ESPA && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ESPA && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ESPA && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == FINL && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == FINL && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == FINL && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == FINL && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == FINL && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == FINL && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == GRBR && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == GRBR && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == GRBR && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == GRBR && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == GRBR && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == GRBR && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == GREC && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == GREC && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == GREC && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == GREC && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == GREC && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == GREC && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == HOLL && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == HOLL && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == HOLL && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == HOLL && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == HOLL && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == HOLL && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == IRLA && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == IRLA && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == IRLA && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == IRLA && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == IRLA && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == IRLA && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ISLA && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ISLA && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ISLA && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ISLA && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ISLA && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ISLA && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ITAL && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ITAL && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ITAL && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ITAL && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ITAL && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ITAL && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == NORV && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == NORV && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == NORV && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == NORV && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == NORV && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == NORV && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == PORT && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == PORT && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == PORT && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == PORT && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == PORT && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == PORT && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == SUED && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == SUED && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == SUED && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == SUED && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == SUED && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == SUED && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == SUIS && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == SUIS && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == SUIS && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == SUIS && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == SUIS && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == SUIS && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == YOUG && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == YOUG && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == YOUG && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == YOUG && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == YOUG && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == YOUG && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == MAGH && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == MAGH && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == MAGH && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == MAGH && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == MAGH && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == MAGH && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == TURQ && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == TURQ && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == TURQ && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == TURQ && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == TURQ && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == TURQ && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ARGE && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ARGE && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ARGE && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ARGE && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ARGE && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ARGE && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == BRES && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == BRES && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == BRES && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == BRES && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == BRES && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == BRES && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == CHIL && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == CHIL && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == CHIL && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == CHIL && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == CHIL && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == CHIL && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == COLO && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == COLO && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == COLO && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == COLO && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == COLO && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == COLO && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == AFSU && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == AFSU && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == AFSU && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == AFSU && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == AFSU && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == AFSU && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == MARO && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == MARO && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == MARO && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == MARO && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == MARO && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == MARO && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ISRA && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ISRA && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ISRA && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ISRA && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ISRA && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ISRA && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == HONG && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == HONG && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == HONG && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == HONG && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == HONG && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == HONG && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == TCHE && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == TCHE && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == TCHE && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == TCHE && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == TCHE && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == TCHE && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == POLO && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == POLO && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == POLO && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == POLO && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == POLO && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == POLO && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == SLVQ && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == SLVQ && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == SLVQ && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == SLVQ && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == SLVQ && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == SLVQ && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == JAPO && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == JAPO && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == JAPO && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == JAPO && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == JAPO && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == JAPO && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == TAIW && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == TAIW && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == TAIW && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == TAIW && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == TAIW && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == TAIW && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == AUST && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == AUST && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == AUST && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == AUST && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == AUST && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == AUST && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == URUG && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == URUG && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == URUG && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == URUG && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == URUG && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == URUG && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAIB && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAIB && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAIB && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAIB && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAIB && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAIB && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAIC && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAIC && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAIC && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAIC && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAIC && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAIC && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAID && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAID && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAID && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAID && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAID && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAID && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAIF && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAIF && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAIF && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAIF && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAIF && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAIF && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == EUOR && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == EUOR && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == EUOR && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == EUOR && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == EUOR && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == EUOR && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == CETI && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == CETI && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == CETI && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == CETI && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == CETI && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == CETI && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == FRAN && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == FRAN && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == FRAN && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == FRAN && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == FRAN && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == FRAN && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == FRAN && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == FRAN && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == FRAN && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == FRAN && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == FRAN && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == FRAN && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DOTO && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DOTO && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DOTO && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == DOTO && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DOTO && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DOTO && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DOTO && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DOTO && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DOTO && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == DOTO && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DOTO && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DOTO && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ALLE && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ALLE && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ALLE && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == ALLE && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ALLE && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ALLE && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ALLE && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ALLE && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ALLE && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == ALLE && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ALLE && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ALLE && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == AUTR && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == AUTR && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == AUTR && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == AUTR && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == AUTR && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == AUTR && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == AUTR && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == AUTR && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == AUTR && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == AUTR && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == AUTR && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == AUTR && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == BELG && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == BELG && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == BELG && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == BELG && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == BELG && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == BELG && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == BELG && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == BELG && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == BELG && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == BELG && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == BELG && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == BELG && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DANE && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DANE && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DANE && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == DANE && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DANE && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DANE && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DANE && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DANE && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DANE && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == DANE && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DANE && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DANE && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ESPA && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ESPA && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ESPA && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == ESPA && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ESPA && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ESPA && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ESPA && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ESPA && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ESPA && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == ESPA && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ESPA && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ESPA && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == FINL && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == FINL && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == FINL && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == FINL && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == FINL && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == FINL && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == FINL && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == FINL && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == FINL && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == FINL && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == FINL && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == FINL && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == GRBR && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == GRBR && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == GRBR && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == GRBR && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == GRBR && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == GRBR && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == GRBR && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == GRBR && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == GRBR && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == GRBR && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == GRBR && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == GRBR && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == GREC && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == GREC && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == GREC && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == GREC && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == GREC && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == GREC && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == GREC && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == GREC && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == GREC && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == GREC && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == GREC && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == GREC && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == HOLL && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == HOLL && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == HOLL && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == HOLL && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == HOLL && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == HOLL && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == HOLL && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == HOLL && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == HOLL && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == HOLL && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == HOLL && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == HOLL && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == IRLA && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == IRLA && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == IRLA && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == IRLA && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == IRLA && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == IRLA && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == IRLA && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == IRLA && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == IRLA && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == IRLA && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == IRLA && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == IRLA && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ISLA && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ISLA && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ISLA && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == ISLA && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ISLA && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ISLA && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ISLA && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ISLA && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ISLA && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == ISLA && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ISLA && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ISLA && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ITAL && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ITAL && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ITAL && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == ITAL && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ITAL && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ITAL && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ITAL && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ITAL && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ITAL && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == ITAL && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ITAL && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ITAL && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == NORV && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == NORV && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == NORV && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == NORV && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == NORV && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == NORV && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == NORV && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == NORV && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == NORV && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == NORV && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == NORV && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == NORV && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == PORT && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == PORT && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == PORT && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == PORT && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == PORT && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == PORT && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == PORT && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == PORT && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == PORT && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == PORT && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == PORT && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == PORT && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == SUED && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == SUED && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == SUED && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == SUED && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == SUED && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == SUED && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == SUED && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == SUED && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == SUED && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == SUED && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == SUED && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == SUED && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == SUIS && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == SUIS && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == SUIS && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == SUIS && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == SUIS && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == SUIS && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == SUIS && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == SUIS && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == SUIS && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == SUIS && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == SUIS && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == SUIS && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == YOUG && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == YOUG && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == YOUG && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == YOUG && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == YOUG && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == YOUG && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == YOUG && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == YOUG && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == YOUG && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == YOUG && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == YOUG && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == YOUG && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == MAGH && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == MAGH && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == MAGH && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == MAGH && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == MAGH && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == MAGH && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == MAGH && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == MAGH && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == MAGH && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == MAGH && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == MAGH && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == MAGH && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == TURQ && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == TURQ && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == TURQ && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == TURQ && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == TURQ && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == TURQ && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == TURQ && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == TURQ && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == TURQ && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == TURQ && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == TURQ && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == TURQ && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ARGE && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ARGE && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ARGE && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == ARGE && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ARGE && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ARGE && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ARGE && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ARGE && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ARGE && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == ARGE && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ARGE && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ARGE && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == BRES && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == BRES && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == BRES && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == BRES && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == BRES && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == BRES && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == BRES && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == BRES && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == BRES && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == BRES && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == BRES && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == BRES && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == CHIL && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == CHIL && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == CHIL && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == CHIL && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == CHIL && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == CHIL && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == CHIL && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == CHIL && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == CHIL && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == CHIL && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == CHIL && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == CHIL && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == COLO && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == COLO && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == COLO && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == COLO && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == COLO && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == COLO && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == COLO && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == COLO && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == COLO && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == COLO && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == COLO && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == COLO && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == AFSU && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == AFSU && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == AFSU && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == AFSU && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == AFSU && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == AFSU && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == AFSU && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == AFSU && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == AFSU && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == AFSU && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == AFSU && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == AFSU && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == MARO && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == MARO && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == MARO && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == MARO && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == MARO && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == MARO && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == MARO && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == MARO && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == MARO && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == MARO && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == MARO && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == MARO && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ISRA && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ISRA && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ISRA && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == ISRA && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ISRA && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ISRA && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ISRA && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ISRA && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ISRA && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == ISRA && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ISRA && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ISRA && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == HONG && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == HONG && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == HONG && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == HONG && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == HONG && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == HONG && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == HONG && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == HONG && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == HONG && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == HONG && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == HONG && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == HONG && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == TCHE && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == TCHE && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == TCHE && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == TCHE && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == TCHE && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == TCHE && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == TCHE && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == TCHE && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == TCHE && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == TCHE && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == TCHE && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == TCHE && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == POLO && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == POLO && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == POLO && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == POLO && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == POLO && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == POLO && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == POLO && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == POLO && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == POLO && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == POLO && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == POLO && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == POLO && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == SLVQ && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == SLVQ && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == SLVQ && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == SLVQ && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == SLVQ && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == SLVQ && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == SLVQ && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == SLVQ && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == SLVQ && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == SLVQ && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == SLVQ && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == SLVQ && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == JAPO && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == JAPO && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == JAPO && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == JAPO && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == JAPO && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == JAPO && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == JAPO && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == JAPO && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == JAPO && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == JAPO && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == JAPO && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == JAPO && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == TAIW && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == TAIW && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == TAIW && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == TAIW && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == TAIW && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == TAIW && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == TAIW && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == TAIW && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == TAIW && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == TAIW && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == TAIW && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == TAIW && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == AUST && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == AUST && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == AUST && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == AUST && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == AUST && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == AUST && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == AUST && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == AUST && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == AUST && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == AUST && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == AUST && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == AUST && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == URUG && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == URUG && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == URUG && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == URUG && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == URUG && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == URUG && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == URUG && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == URUG && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == URUG && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == URUG && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == URUG && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == URUG && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAIB && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DAIB && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAIB && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == DAIB && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAIB && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DAIB && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAIB && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DAIB && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAIB && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == DAIB && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAIB && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DAIB && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAIC && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DAIC && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAIC && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == DAIC && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAIC && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DAIC && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAIC && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DAIC && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAIC && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == DAIC && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAIC && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DAIC && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAID && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DAID && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAID && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == DAID && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAID && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DAID && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAID && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DAID && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAID && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == DAID && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAID && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DAID && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAIF && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DAIF && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAIF && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == DAIF && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAIF && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DAIF && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAIF && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DAIF && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAIF && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == DAIF && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAIF && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DAIF && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == EUOR && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == EUOR && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == EUOR && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == EUOR && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == EUOR && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == EUOR && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == EUOR && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == EUOR && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == EUOR && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == EUOR && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == EUOR && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) ) || ( ( var1 == B64 && var2 == E2 && var5 == EUOR && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == CETI && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == CETI && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == CETI && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == CETI && var23 == SPROJA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == CETI && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == CETI && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == CETI && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == CETI && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == CETI && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == CETI && var23 == PROJAB && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == CETI && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == CETI && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == FRAN && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == FRAN && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == DOTO && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == DOTO && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == ALLE && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == ALLE && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == AUTR && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == AUTR && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == BELG && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == BELG && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == DANE && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == DANE && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == ESPA && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == ESPA && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == FINL && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == FINL && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == GRBR && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == GRBR && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == GREC && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == GREC && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == HOLL && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == HOLL && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == IRLA && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == IRLA && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == ISLA && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == ISLA && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == ITAL && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == ITAL && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == NORV && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == NORV && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == PORT && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == PORT && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == SUED && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == SUED && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == SUIS && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == SUIS && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == YOUG && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == YOUG && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == MAGH && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == MAGH && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == TURQ && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == TURQ && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == ARGE && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == ARGE && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == BRES && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == BRES && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == CHIL && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == CHIL && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == COLO && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == COLO && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == AFSU && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == AFSU && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == MARO && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == MARO && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == ISRA && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == ISRA && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == HONG && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == HONG && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == TCHE && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == TCHE && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == POLO && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == POLO && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == SLVQ && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == SLVQ && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == JAPO && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == JAPO && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == TAIW && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == TAIW && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == AUST && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == AUST && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == URUG && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == URUG && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == DAIB && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == DAIB && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == DAIC && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == DAIC && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == DAID && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == DAID && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == DAIF && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == DAIF && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == EUOR && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == EUOR && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == CETI && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == CETI && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == FRAN && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == DOTO && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == ALLE && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == AUTR && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == BELG && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == DANE && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == ESPA && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == FINL && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == GRBR && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == GREC && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == HOLL && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == IRLA && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == ISLA && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == ITAL && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == NORV && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == PORT && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == SUED && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == SUIS && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == YOUG && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == MAGH && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == TURQ && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == ARGE && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == BRES && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == CHIL && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == COLO && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == AFSU && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == MARO && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == ISRA && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == HONG && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == TCHE && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == POLO && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == SLVQ && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == JAPO && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == TAIW && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == AUST && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == URUG && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == DAIB && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == DAIC && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == DAID && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == DAIF && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == EUOR && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == CETI && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == FRAN && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == FRAN && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == FRAN && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == FRAN && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == DOTO && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == DOTO && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == DOTO && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == DOTO && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == ALLE && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == ALLE && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == ALLE && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == ALLE && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == AUTR && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == AUTR && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == AUTR && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == AUTR && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == BELG && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == BELG && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == BELG && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == BELG && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == DANE && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == DANE && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == DANE && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == DANE && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == ESPA && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == ESPA && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == ESPA && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == ESPA && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == FINL && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == FINL && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == FINL && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == FINL && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == GRBR && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == GRBR && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == GRBR && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == GRBR && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == GREC && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == GREC && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == GREC && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == GREC && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == HOLL && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == HOLL && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == HOLL && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == HOLL && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == IRLA && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == IRLA && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == IRLA && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == IRLA && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == ISLA && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == ISLA && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == ISLA && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == ISLA && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == ITAL && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == ITAL && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == ITAL && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == ITAL && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == NORV && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == NORV && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == NORV && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == NORV && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == PORT && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == PORT && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == PORT && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == PORT && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == SUED && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == SUED && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == SUED && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == SUED && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == SUIS && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == SUIS && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == SUIS && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == SUIS && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == YOUG && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == YOUG && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == YOUG && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == YOUG && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == MAGH && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == MAGH && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == MAGH && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == MAGH && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == TURQ && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == TURQ && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == TURQ && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == TURQ && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == ARGE && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == ARGE && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == ARGE && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == ARGE && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == BRES && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == BRES && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == BRES && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == BRES && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == CHIL && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == CHIL && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == CHIL && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == CHIL && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == COLO && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == COLO && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == COLO && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == COLO && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == AFSU && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == AFSU && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == AFSU && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == AFSU && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == MARO && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == MARO && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == MARO && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == MARO && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == ISRA && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == ISRA && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == ISRA && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == ISRA && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == HONG && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == HONG && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == HONG && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == HONG && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == TCHE && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == TCHE && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == TCHE && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == TCHE && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == POLO && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == POLO && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == POLO && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == POLO && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == SLVQ && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == SLVQ && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == SLVQ && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == SLVQ && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == JAPO && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == JAPO && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == JAPO && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == JAPO && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == TAIW && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == TAIW && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == TAIW && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == TAIW && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == AUST && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == AUST && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == AUST && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == AUST && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == URUG && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == URUG && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == URUG && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == URUG && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == DAIB && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == DAIB && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == DAIB && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == DAIB && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == DAIC && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == DAIC && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == DAIC && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == DAIC && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == DAID && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == DAID && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == DAID && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == DAID && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == DAIF && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == DAIF && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == DAIF && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == DAIF && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == EUOR && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == EUOR && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == EUOR && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == EUOR && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == CETI && var23 == SPROJA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == CETI && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == CETI && var23 == PROJAB && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == CETI && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == FRAN && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == DOTO && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == ALLE && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == AUTR && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == BELG && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == DANE && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == ESPA && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == FINL && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == GRBR && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == GREC && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == HOLL && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == IRLA && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == ISLA && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == ITAL && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == NORV && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == PORT && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == SUED && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == SUIS && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == YOUG && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == MAGH && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == TURQ && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == ARGE && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == BRES && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == CHIL && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == COLO && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == AFSU && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == MARO && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == ISRA && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == HONG && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == TCHE && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == POLO && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == SLVQ && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == JAPO && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == TAIW && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == AUST && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == URUG && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == DAIB && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == DAIC && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == DAID && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == DAIF && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == EUOR && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == CETI && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == FRAN && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == FRAN && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == DOTO && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == DOTO && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == ALLE && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == ALLE && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == AUTR && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == AUTR && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == BELG && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == BELG && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == DANE && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == DANE && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == ESPA && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == ESPA && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == FINL && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == FINL && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == GRBR && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == GRBR && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == GREC && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == GREC && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == HOLL && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == HOLL && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == IRLA && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == IRLA && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == ISLA && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == ISLA && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == ITAL && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == ITAL && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == NORV && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == NORV && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == PORT && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == PORT && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == SUED && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == SUED && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == SUIS && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == SUIS && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == YOUG && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == YOUG && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == MAGH && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == MAGH && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == TURQ && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == TURQ && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == ARGE && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == ARGE && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == BRES && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == BRES && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == CHIL && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == CHIL && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == COLO && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == COLO && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == AFSU && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == AFSU && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == MARO && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == MARO && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == ISRA && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == ISRA && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == HONG && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == HONG && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == TCHE && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == TCHE && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == POLO && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == POLO && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == SLVQ && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == SLVQ && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == JAPO && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == JAPO && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == TAIW && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == TAIW && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == AUST && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == AUST && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == URUG && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == URUG && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == DAIB && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == DAIB && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == DAIC && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == DAIC && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == DAID && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == DAID && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == DAIF && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == DAIF && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == EUOR && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == EUOR && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == CETI && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == CETI && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == FRAN && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == FRAN && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == DOTO && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == DOTO && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == ALLE && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == ALLE && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == AUTR && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == AUTR && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == BELG && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == BELG && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == DANE && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == DANE && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == ESPA && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == ESPA && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == FINL && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == FINL && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == GRBR && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == GRBR && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == GREC && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == GREC && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == HOLL && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == HOLL && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == IRLA && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == IRLA && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == ISLA && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == ISLA && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == ITAL && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == ITAL && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == NORV && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == NORV && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == PORT && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == PORT && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == SUED && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == SUED && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == SUIS && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == SUIS && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == YOUG && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == YOUG && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == MAGH && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == MAGH && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == TURQ && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == TURQ && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == ARGE && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == ARGE && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == BRES && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == BRES && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == CHIL && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == CHIL && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == COLO && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == COLO && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == AFSU && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == AFSU && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == MARO && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == MARO && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == ISRA && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == ISRA && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == HONG && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == HONG && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == TCHE && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == TCHE && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == POLO && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == POLO && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == SLVQ && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == SLVQ && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == JAPO && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == JAPO && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == TAIW && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == TAIW && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == AUST && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == AUST && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == URUG && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == URUG && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == DAIB && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == DAIB && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == DAIC && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == DAIC && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == DAID && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == DAID && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == DAIF && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == DAIF && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == EUOR && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == EUOR && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == CETI && var23 == SPROJA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == CETI && var23 == PROJAB && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) ) ); ( ( ( var1 == S64 && var2 == E1 && var3 == M9 && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var57 == SAILAR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var57 == SAILAR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var57 == SAILAR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var57 == SAILAR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var57 == SAILAR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var57 == SAILAR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var57 == SAILAR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var57 == SAILAR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var57 == SAILAR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var57 == SAILAR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var57 == SAILAR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MA && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MA && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var57 == SAILAR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MA && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var57 == SAILAR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var57 == SAILAR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var57 == SAILAR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MC && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MC && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var57 == SAILAR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MC && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var57 == SAILAR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MD && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MD && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var57 == SAILAR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MD && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var57 == SAILAR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ME && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ME && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var57 == SAILAR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ME && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var57 == SAILAR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MF && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var57 == SAILAR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MF && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var57 == SAILAR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var57 == SAILAR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var57 == SAILAR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MK && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MK && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var57 == SAILAR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MK && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var57 == SAILAR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ML && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ML && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var57 == SAILAR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ML && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var57 == SAILAR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MM && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MM && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var57 == SAILAR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MM && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var57 == SAILAR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MS && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MS && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var57 == SAILAR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MS && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var57 == SAILAR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MT && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MT && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var57 == SAILAR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MT && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var57 == SAILAR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MU && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MU && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var57 == SAILAR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MU && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var57 == SAILAR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MN && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MN && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var57 == SAILAR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MN && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var57 == SAILAR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MH && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MH && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var57 == SAILAR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MH && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var57 == SAILAR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var57 == SAILAR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var57 == SAILAR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MY && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MY && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var57 == SAILAR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MY && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var57 == SAILAR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var57 == SAILAR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var57 == SAILAR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var57 == SAILAR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var57 == SAILAR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var57 == SAILAR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var57 == AILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var57 == AILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var57 == AILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var57 == AILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var57 == AILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var57 == AILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var57 == AILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var57 == AILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var57 == AILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var57 == AILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var57 == AILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var57 == AILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var57 == AILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var57 == AILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var57 == AILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var57 == AILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var57 == AILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var57 == AILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var57 == AILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var57 == AILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var57 == AILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var57 == AILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var57 == AILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var57 == AILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var57 == AILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var57 == AILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var57 == AILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var57 == AILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var57 == AILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var57 == AILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var57 == AILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var57 == AILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var57 == AILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var57 == AILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var57 == AILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var57 == AILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var57 == AILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var57 == AILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var57 == AILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var57 == AILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var57 == AILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var57 == AILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var57 == AILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MS && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MS && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MS && var57 == AILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MS && var57 == AILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var57 == AILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var57 == AILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MU && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MU && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MU && var57 == AILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MU && var57 == AILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var57 == AILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var57 == AILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var57 == AILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var57 == AILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var57 == AILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var57 == AILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var57 == AILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var57 == AILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var57 == AILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var57 == AILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var57 == AILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var57 == AILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var57 == AILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var57 == AILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var57 == AILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var57 == AILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var57 == AILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var57 == AILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var57 == AILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var57 == AILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var57 == AILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var57 == AILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var57 == AILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var57 == AILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var57 == AILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var57 == AILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var57 == AILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var57 == AILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var57 == AILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var57 == AILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var57 == AILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var57 == AILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var57 == AILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var57 == AILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var57 == AILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var57 == AILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var57 == AILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var57 == AILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var57 == AILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var57 == AILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var57 == AILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var57 == AILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var57 == AILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var57 == AILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var57 == AILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var57 == AILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var57 == AILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var57 == AILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var57 == AILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var57 == AILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var57 == AILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var57 == AILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var57 == AILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var57 == AILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var57 == AILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var57 == AILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var57 == AILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var57 == AILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var57 == AILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MS && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MS && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MS && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MS && var57 == AILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MS && var57 == AILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MS && var57 == AILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var57 == AILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var57 == AILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var57 == AILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MU && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MU && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MU && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MU && var57 == AILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MU && var57 == AILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MU && var57 == AILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var57 == AILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var57 == AILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var57 == AILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var57 == AILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var57 == AILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var57 == AILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var57 == AILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var57 == AILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var57 == AILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var57 == AILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var57 == AILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var57 == AILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var57 == AILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var57 == AILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var57 == AILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var57 == AILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var57 == AILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var57 == AILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var57 == AILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var57 == AILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var57 == AILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var57 == SAILAR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var57 == SAILAR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var57 == SAILAR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var57 == SAILAR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var57 == SAILAR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var57 == SAILAR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var57 == SAILAR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var57 == SAILAR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var57 == SAILAR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var57 == SAILAR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var57 == SAILAR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var57 == SAILAR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var57 == SAILAR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var57 == SAILAR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var57 == SAILAR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var57 == SAILAR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var57 == SAILAR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var57 == SAILAR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var57 == SAILAR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var57 == SAILAR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var57 == SAILAR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var57 == SAILAR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var57 == SAILAR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var57 == SAILAR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var57 == SAILAR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var57 == SAILAR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var57 == SAILAR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var57 == SAILAR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var57 == SAILAR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var57 == SAILAR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var57 == SAILAR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var57 == SAILAR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var57 == SAILAR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var57 == SAILAR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var57 == SAILAR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var57 == SAILAR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var57 == SAILAR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var57 == SAILAR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var57 == SAILAR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var57 == SAILAR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var57 == SAILAR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var57 == SAILAR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var57 == SAILAR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var57 == SAILAR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var57 == SAILAR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var57 == SAILAR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var57 == SAILAR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var57 == SAILAR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var57 == SAILAR && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var57 == SAILAR && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MA && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MA && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MA && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MC && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MC && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MC && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MD && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MD && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MD && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ME && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ME && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ME && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MF && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MF && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MK && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MK && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MK && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ML && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ML && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ML && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MM && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MM && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MM && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MS && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MS && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MS && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MT && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MT && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MT && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MU && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MU && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MU && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MN && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MN && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MN && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MH && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MH && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MH && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MY && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MY && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MY && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var57 == SAILAR && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var57 == AILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var57 == SAILAR && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var57 == SAILAR && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) ) ); ( ( ( var1 == S64 && var2 == E1 && var3 == M9 && var58 == SMONEQ && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var58 == MGMECO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var58 == RL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var58 == MGMRNA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var58 == SMONEQ && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var58 == MGMECO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var58 == RL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var58 == MGMRNA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var58 == SMONEQ && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var58 == MGMECO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var58 == RL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var58 == MGMRNA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var58 == SMONEQ && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var58 == MGMECO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var58 == RL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var58 == MGMRNA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var58 == SMONEQ && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var58 == MGMECO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var58 == RL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var58 == MGMRNA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var58 == SMONEQ && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var58 == MGMECO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var58 == RL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var58 == MGMRNA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var58 == RL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var58 == MGMRTA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var58 == RL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var58 == MGMRTA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var58 == RL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var58 == MGMRTA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var58 == RL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var58 == MGMRTA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var58 == RL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var58 == MGMRTA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var58 == RL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var58 == MGMRTA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var58 == SMONEQ && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var58 == MGMECO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var58 == RL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var58 == MGMRNA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var58 == MGMRNE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var58 == SMONEQ && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var58 == MGMECO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var58 == RL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var58 == MGMRNA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var58 == MGMRNE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var58 == SMONEQ && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var58 == MGMECO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var58 == RL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var58 == MGMRNA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var58 == MGMRNE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var58 == SMONEQ && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var58 == MGMECO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var58 == RL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var58 == MGMRNA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var58 == MGMRNE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var58 == SMONEQ && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var58 == MGMECO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var58 == RL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var58 == MGMRNA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var58 == MGMRNE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var58 == SMONEQ && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var58 == MGMECO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var58 == RL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var58 == MGMRNA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var58 == MGMRNE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var58 == SMONEQ && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var58 == MGMECO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var58 == RL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var58 == MGMRNA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var58 == MGMRNE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var58 == SMONEQ && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var58 == MGMECO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var58 == RL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var58 == MGMRNA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var58 == MGMRNE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var58 == SMONEQ && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var58 == MGMECO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var58 == RL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var58 == MGMRNA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var58 == MGMRNE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var58 == SMONEQ && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var58 == MGMECO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var58 == RL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var58 == MGMRNA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var58 == MGMRNE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var58 == SMONEQ && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var58 == MGMECO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var58 == RL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var58 == MGMRNA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var58 == MGMRNE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var58 == SMONEQ && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var58 == MGMECO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var58 == RL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var58 == MGMRNA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var58 == MGMRNE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var58 == SMONEQ && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var58 == MGMECO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var58 == RL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var58 == MGMRNA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var58 == MGMRNE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var58 == SMONEQ && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var58 == MGMECO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var58 == RL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var58 == MGMRNA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var58 == MGMRNE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var58 == SMONEQ && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var58 == MGMECO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var58 == RL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var58 == MGMRNA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var58 == MGMRNE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var58 == SMONEQ && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var58 == MGMECO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var58 == RL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var58 == MGMRNA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var58 == MGMRNE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var58 == SMONEQ && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var58 == MGMECO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var58 == RL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var58 == MGMRNA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var58 == MGMRNE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var58 == SMONEQ && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var58 == MGMECO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var58 == RL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var58 == MGMRNA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var58 == MGMRNE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var58 == SMONEQ && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var58 == MGMECO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var58 == RL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var58 == MGMRNA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var58 == MGMRNE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var58 == SMONEQ && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var58 == MGMECO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var58 == RL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var58 == MGMRNA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var58 == MGMRNE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var58 == SMONEQ && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var58 == MGMECO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var58 == RL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var58 == MGMRNA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var58 == MGMRNE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var58 == SMONEQ && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var58 == MGMECO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var58 == RL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var58 == MGMRNA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var58 == MGMRNE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var58 == SMONEQ && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var58 == MGMECO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var58 == RL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var58 == MGMRNA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var58 == MGMRNE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var58 == SMONEQ && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var58 == MGMECO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var58 == RL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var58 == MGMRNA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var58 == MGMRNE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var58 == SMONEQ && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var58 == MGMECO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var58 == RL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var58 == MGMRNA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var58 == MGMRNE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var58 == RL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var58 == RN && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var58 == RT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var58 == MGMRTA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var58 == MGMRTA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var58 == MGMRTA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var58 == MGMRTE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var58 == MGMRTE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var58 == MGMAZE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var58 == RL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var58 == RN && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var58 == RT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var58 == MGMRTA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var58 == MGMRTA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var58 == MGMRTA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var58 == MGMRTE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var58 == MGMRTE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var58 == MGMAZE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var58 == RL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var58 == RN && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var58 == RT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var58 == MGMRTA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var58 == MGMRTA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var58 == MGMRTA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var58 == MGMRTE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var58 == MGMRTE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var58 == MGMAZE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var58 == RL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var58 == RN && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var58 == RT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var58 == MGMRTA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var58 == MGMRTA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var58 == MGMRTA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var58 == MGMRTE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var58 == MGMRTE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var58 == MGMAZE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var58 == RL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var58 == RN && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var58 == RT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var58 == MGMRTA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var58 == MGMRTA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var58 == MGMRTA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var58 == MGMRTE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var58 == MGMRTE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var58 == MGMAZE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MA && var58 == RL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var58 == RN && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MA && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var58 == RT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var58 == MGMRTA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var58 == MGMRTA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MA && var58 == MGMRTA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var58 == MGMRTE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MA && var58 == MGMRTE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var58 == MGMAZE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var58 == RL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var58 == RN && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var58 == RT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var58 == MGMRTA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var58 == MGMRTA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var58 == MGMRTA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var58 == MGMRTE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var58 == MGMRTE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var58 == MGMAZE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MC && var58 == RL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var58 == RN && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MC && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var58 == RT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var58 == MGMRTA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var58 == MGMRTA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MC && var58 == MGMRTA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var58 == MGMRTE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MC && var58 == MGMRTE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var58 == MGMAZE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MD && var58 == RL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var58 == RN && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MD && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var58 == RT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var58 == MGMRTA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var58 == MGMRTA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MD && var58 == MGMRTA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var58 == MGMRTE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MD && var58 == MGMRTE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var58 == MGMAZE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ME && var58 == RL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var58 == RN && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ME && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var58 == RT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var58 == MGMRTA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var58 == MGMRTA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ME && var58 == MGMRTA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var58 == MGMRTE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ME && var58 == MGMRTE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var58 == MGMAZE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var58 == RL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var58 == RN && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MF && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var58 == RT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var58 == MGMRTA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var58 == MGMRTA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MF && var58 == MGMRTA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var58 == MGMRTE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var58 == MGMRTE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var58 == MGMAZE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var58 == RL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var58 == RN && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var58 == RT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var58 == MGMRTA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var58 == MGMRTA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var58 == MGMRTA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var58 == MGMRTE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var58 == MGMRTE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var58 == MGMAZE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MK && var58 == RL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var58 == RN && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MK && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var58 == RT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var58 == MGMRTA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var58 == MGMRTA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MK && var58 == MGMRTA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var58 == MGMRTE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MK && var58 == MGMRTE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var58 == MGMAZE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ML && var58 == RL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var58 == RN && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ML && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var58 == RT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var58 == MGMRTA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var58 == MGMRTA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ML && var58 == MGMRTA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var58 == MGMRTE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ML && var58 == MGMRTE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var58 == MGMAZE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MM && var58 == RL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var58 == RN && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MM && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var58 == RT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var58 == MGMRTA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var58 == MGMRTA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MM && var58 == MGMRTA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var58 == MGMRTE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MM && var58 == MGMRTE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var58 == MGMAZE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MS && var58 == RL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var58 == RN && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MS && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var58 == RT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var58 == MGMRTA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var58 == MGMRTA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MS && var58 == MGMRTA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var58 == MGMRTE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MS && var58 == MGMRTE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var58 == MGMAZE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MT && var58 == RL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var58 == RN && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MT && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var58 == RT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var58 == MGMRTA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var58 == MGMRTA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MT && var58 == MGMRTA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var58 == MGMRTE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MT && var58 == MGMRTE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var58 == MGMAZE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MU && var58 == RL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var58 == RN && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MU && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var58 == RT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var58 == MGMRTA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var58 == MGMRTA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MU && var58 == MGMRTA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var58 == MGMRTE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MU && var58 == MGMRTE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var58 == MGMAZE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MN && var58 == RL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var58 == RN && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MN && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var58 == RT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var58 == MGMRTA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var58 == MGMRTA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MN && var58 == MGMRTA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var58 == MGMRTE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MN && var58 == MGMRTE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var58 == MGMAZE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MH && var58 == RL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var58 == RN && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MH && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var58 == RT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var58 == MGMRTA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var58 == MGMRTA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MH && var58 == MGMRTA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var58 == MGMRTE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MH && var58 == MGMRTE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var58 == MGMAZE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var58 == RL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var58 == RN && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var58 == RT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var58 == MGMRTA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var58 == MGMRTA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var58 == MGMRTA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var58 == MGMRTE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var58 == MGMRTE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var58 == MGMAZE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MY && var58 == RL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var58 == RN && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MY && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var58 == RT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var58 == MGMRTA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var58 == MGMRTA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MY && var58 == MGMRTA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var58 == MGMRTE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MY && var58 == MGMRTE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var58 == MGMAZE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var58 == RL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var58 == RN && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var58 == RT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var58 == MGMRTA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var58 == MGMRTA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var58 == MGMRTA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var58 == MGMRTE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var58 == MGMRTE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var58 == MGMAZE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var58 == RL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var58 == RN && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var58 == RT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var58 == MGMRTA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var58 == MGMRTA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var58 == MGMRTA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var58 == MGMRTE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var58 == MGMRTE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var58 == MGMAZE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var58 == RL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var58 == RN && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var58 == RT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var58 == MGMRTA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var58 == MGMRTA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var58 == MGMRTA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var58 == MGMRTE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var58 == MGMRTE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var58 == MGMAZE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var58 == RXE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var58 == MGMRXT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var58 == RXE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var58 == MGMRXT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var58 == RXE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var58 == MGMRXT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var58 == RXE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var58 == MGMRXT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var58 == RXE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var58 == MGMRXT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var58 == RXE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var58 == MGMRXT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var58 == RXE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var58 == MGMRXT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var58 == RXE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var58 == MGMRXT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var58 == RXE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var58 == MGMRXT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var58 == RXE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var58 == MGMRXT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var58 == RXE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var58 == MGMRXT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var58 == RXE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var58 == MGMRXT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var58 == RXE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var58 == MGMRXT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var58 == RXE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var58 == MGMRXT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var58 == RXE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var58 == MGMRXT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var58 == RXE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var58 == MGMRXT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var58 == RXE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var58 == MGMRXT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var58 == RXE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var58 == MGMRXT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var58 == RXE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var58 == MGMRXT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var58 == SMONEQ && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var58 == MGMRXT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var58 == RXE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var58 == MGMRXT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var58 == RXE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var58 == MGMRXT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var58 == RXE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var58 == MGMRXT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var58 == RXE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var58 == MGMRXT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var58 == RXE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var58 == MGMRXT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var58 == SMONEQ && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var58 == SMONEQ && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var58 == SMONEQ && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var58 == SMONEQ && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var58 == SMONEQ && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var58 == SMONEQ && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var58 == SMONEQ && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var58 == SMONEQ && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var58 == SMONEQ && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var58 == SMONEQ && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var58 == SMONEQ && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var58 == SMONEQ && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var58 == SMONEQ && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var58 == SMONEQ && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var58 == SMONEQ && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var58 == SMONEQ && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var58 == SMONEQ && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var58 == SMONEQ && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var58 == SMONEQ && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var58 == SMONEQ && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var58 == SMONEQ && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var58 == SMONEQ && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var58 == SMONEQ && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var58 == SMONEQ && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var58 == SMONEQ && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var58 == SMONEQ && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var58 == SMONEQ && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var58 == SMONEQ && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var58 == SMONEQ && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var58 == SMONEQ && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var58 == SMONEQ && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var58 == SMONEQ && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var58 == SMONEQ && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var58 == SMONEQ && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var58 == SMONEQ && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var58 == SMONEQ && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var58 == SMONEQ && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var58 == SMONEQ && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var58 == SMONEQ && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var58 == SMONEQ && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var58 == SMONEQ && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var58 == SMONEQ && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MS && var58 == SMONEQ && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MS && var58 == SMONEQ && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var58 == SMONEQ && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var58 == SMONEQ && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MU && var58 == SMONEQ && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MU && var58 == SMONEQ && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var58 == SMONEQ && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var58 == SMONEQ && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var58 == SMONEQ && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var58 == SMONEQ && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var58 == SMONEQ && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var58 == SMONEQ && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var58 == SMONEQ && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var58 == SMONEQ && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var58 == SMONEQ && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var58 == SMONEQ && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var58 == SMONEQ && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var58 == SMONEQ && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var58 == SMONEQ && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var58 == SMONEQ && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var58 == SMONEQ && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var58 == SMONEQ && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var58 == SMONEQ && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var58 == SMONEQ && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var58 == SMONEQ && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var58 == SMONEQ && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var58 == SMONEQ && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var58 == SMONEQ && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var58 == SMONEQ && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var58 == SMONEQ && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var58 == SMONEQ && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var58 == SMONEQ && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var58 == SMONEQ && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var58 == SMONEQ && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var58 == SMONEQ && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var58 == SMONEQ && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var58 == SMONEQ && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var58 == SMONEQ && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var58 == SMONEQ && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var58 == SMONEQ && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var58 == SMONEQ && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var58 == SMONEQ && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var58 == SMONEQ && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var58 == SMONEQ && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var58 == SMONEQ && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var58 == SMONEQ && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var58 == SMONEQ && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var58 == SMONEQ && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var58 == SMONEQ && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var58 == SMONEQ && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var58 == SMONEQ && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var58 == SMONEQ && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var58 == SMONEQ && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var58 == SMONEQ && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var58 == SMONEQ && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var58 == SMONEQ && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var58 == SMONEQ && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var58 == SMONEQ && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var58 == SMONEQ && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var58 == SMONEQ && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var58 == SMONEQ && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var58 == SMONEQ && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var58 == SMONEQ && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var58 == SMONEQ && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var58 == SMONEQ && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MS && var58 == SMONEQ && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MS && var58 == SMONEQ && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MS && var58 == SMONEQ && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var58 == SMONEQ && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var58 == SMONEQ && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var58 == SMONEQ && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MU && var58 == SMONEQ && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MU && var58 == SMONEQ && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MU && var58 == SMONEQ && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var58 == SMONEQ && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var58 == SMONEQ && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var58 == SMONEQ && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var58 == SMONEQ && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var58 == SMONEQ && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var58 == SMONEQ && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var58 == SMONEQ && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var58 == SMONEQ && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var58 == SMONEQ && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var58 == SMONEQ && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var58 == SMONEQ && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var58 == SMONEQ && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var58 == SMONEQ && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var58 == SMONEQ && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var58 == SMONEQ && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var58 == SMONEQ && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var58 == SMONEQ && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var58 == SMONEQ && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var58 == SMONEQ && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var58 == SMONEQ && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var58 == SMONEQ && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var58 == RN && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var58 == RT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var58 == MGMRTA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var58 == MGMRTA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var58 == MGMRTE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var58 == RN && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var58 == RT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var58 == MGMRTA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var58 == MGMRTA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var58 == MGMRTE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var58 == RN && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var58 == RT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var58 == MGMRTA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var58 == MGMRTA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var58 == MGMRTE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var58 == RN && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var58 == RT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var58 == MGMRTA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var58 == MGMRTA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var58 == MGMRTE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var58 == RN && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var58 == RT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var58 == MGMRTA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var58 == MGMRTA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var58 == MGMRTE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var58 == RN && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var58 == RT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var58 == MGMRTA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var58 == MGMRTA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var58 == MGMRTE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var58 == RN && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var58 == RT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var58 == MGMRTA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var58 == MGMRTA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var58 == MGMRTE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var58 == RN && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var58 == RT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var58 == MGMRTA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var58 == MGMRTA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var58 == MGMRTE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var58 == RN && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var58 == RT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var58 == MGMRTA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var58 == MGMRTA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var58 == MGMRTE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var58 == RN && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var58 == RT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var58 == MGMRTA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var58 == MGMRTA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var58 == MGMRTE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var58 == RN && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var58 == RT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var58 == MGMRTA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var58 == MGMRTA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var58 == MGMRTE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var58 == RN && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var58 == RT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var58 == MGMRTA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var58 == MGMRTA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var58 == MGMRTE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var58 == RN && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var58 == RT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var58 == MGMRTA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var58 == MGMRTA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var58 == MGMRTE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var58 == RN && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var58 == RT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var58 == MGMRTA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var58 == MGMRTA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var58 == MGMRTE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var58 == RN && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var58 == RT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var58 == MGMRTA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var58 == MGMRTA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var58 == MGMRTE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var58 == RN && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var58 == RT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var58 == MGMRTA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var58 == MGMRTA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var58 == MGMRTE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var58 == RN && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var58 == RT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var58 == MGMRTA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var58 == MGMRTA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var58 == MGMRTE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var58 == RN && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var58 == RT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var58 == MGMRTA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var58 == MGMRTA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var58 == MGMRTE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var58 == RN && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var58 == RT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var58 == MGMRTA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var58 == MGMRTA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var58 == MGMRTE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var58 == RN && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var58 == RT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var58 == MGMRTA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var58 == MGMRTA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var58 == MGMRTE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var58 == RN && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var58 == RT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var58 == MGMRTA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var58 == MGMRTA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var58 == MGMRTE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var58 == RN && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var58 == RT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var58 == MGMRTA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var58 == MGMRTA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var58 == MGMRTE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var58 == RN && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var58 == RT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var58 == MGMRTA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var58 == MGMRTA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var58 == MGMRTE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var58 == RN && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var58 == RT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var58 == MGMRTA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var58 == MGMRTA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var58 == MGMRTE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var58 == RN && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var58 == RT && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var58 == MGMRTA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var58 == MGMRTA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var58 == MGMRTE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var58 == RXE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var58 == MGMRXT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var58 == RXE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var58 == MGMRXT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var58 == RXE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var58 == MGMRXT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var58 == RXE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var58 == MGMRXT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var58 == RXE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var58 == MGMRXT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var58 == RXE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var58 == MGMRXT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var58 == RXE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var58 == MGMRXT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var58 == RXE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var58 == MGMRXT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var58 == RXE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var58 == MGMRXT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var58 == RXE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var58 == MGMRXT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var58 == RXE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var58 == MGMRXT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var58 == RXE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var58 == MGMRXT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var58 == RXE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var58 == MGMRXT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var58 == RXE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var58 == MGMRXT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var58 == RXE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var58 == MGMRXT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var58 == RXE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var58 == MGMRXT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var58 == RXE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var58 == MGMRXT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var58 == RXE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var58 == MGMRXT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var58 == RXE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var58 == MGMRXT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var58 == RXE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var58 == MGMRXT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var58 == RXE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var58 == MGMRXT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var58 == RXE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var58 == MGMRXT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var58 == RXE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var58 == MGMRXT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var58 == RXE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var58 == MGMRXT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var58 == RXE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var58 == MGMRXT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var58 == SMONEQ && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var58 == MGMECO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var58 == RL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var58 == MGMRNA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var58 == MGMRNE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var58 == SMONEQ && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var58 == MGMECO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var58 == RL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var58 == MGMRNA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var58 == MGMRNE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var58 == SMONEQ && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var58 == MGMECO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var58 == RL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var58 == MGMRNA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var58 == MGMRNE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var58 == SMONEQ && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var58 == MGMECO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var58 == RL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var58 == MGMRNA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var58 == MGMRNE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var58 == SMONEQ && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var58 == MGMECO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var58 == RL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var58 == MGMRNA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var58 == MGMRNE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var58 == SMONEQ && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var58 == MGMECO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var58 == RL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var58 == MGMRNA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var58 == MGMRNE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var58 == SMONEQ && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var58 == MGMECO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var58 == RL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var58 == MGMRNA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var58 == MGMRNE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var58 == SMONEQ && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var58 == MGMECO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var58 == RL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var58 == MGMRNA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var58 == MGMRNE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var58 == SMONEQ && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var58 == MGMECO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var58 == RL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var58 == MGMRNA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var58 == MGMRNE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var58 == SMONEQ && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var58 == MGMECO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var58 == RL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var58 == MGMRNA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var58 == MGMRNE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var58 == SMONEQ && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var58 == MGMECO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var58 == RL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var58 == MGMRNA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var58 == MGMRNE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var58 == SMONEQ && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var58 == MGMECO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var58 == RL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var58 == MGMRNA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var58 == MGMRNE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var58 == SMONEQ && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var58 == MGMECO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var58 == RL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var58 == MGMRNA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var58 == MGMRNE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var58 == SMONEQ && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var58 == MGMECO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var58 == RL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var58 == MGMRNA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var58 == MGMRNE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var58 == SMONEQ && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var58 == MGMECO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var58 == RL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var58 == MGMRNA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var58 == MGMRNE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var58 == SMONEQ && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var58 == MGMECO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var58 == RL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var58 == MGMRNA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var58 == MGMRNE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var58 == SMONEQ && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var58 == MGMECO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var58 == RL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var58 == MGMRNA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var58 == MGMRNE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var58 == SMONEQ && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var58 == MGMECO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var58 == RL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var58 == MGMRNA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var58 == MGMRNE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var58 == SMONEQ && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var58 == MGMECO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var58 == RL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var58 == MGMRNA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var58 == MGMRNE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var58 == SMONEQ && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var58 == MGMECO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var58 == RL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var58 == MGMRNA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var58 == MGMRNE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var58 == SMONEQ && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var58 == MGMECO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var58 == RL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var58 == MGMRNA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var58 == MGMRNE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var58 == SMONEQ && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var58 == MGMECO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var58 == RL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var58 == MGMRNA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var58 == MGMRNE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var58 == SMONEQ && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var58 == MGMECO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var58 == RL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var58 == MGMRNA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var58 == MGMRNE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var58 == SMONEQ && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var58 == MGMECO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var58 == RL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var58 == MGMRNA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var58 == MGMRNE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var58 == SMONEQ && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var58 == MGMECO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var58 == RL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var58 == MGMRNA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var58 == MGMRNE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var58 == RL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var58 == MGMRTA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var58 == MGMRTA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var58 == MGMRTE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var58 == MGMRTE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var58 == MGMAZE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var58 == RL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var58 == MGMRTA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var58 == MGMRTA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var58 == MGMRTE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var58 == MGMRTE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var58 == MGMAZE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var58 == RL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var58 == MGMRTA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var58 == MGMRTA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var58 == MGMRTE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var58 == MGMRTE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var58 == MGMAZE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var58 == RL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var58 == MGMRTA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var58 == MGMRTA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var58 == MGMRTE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var58 == MGMRTE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var58 == MGMAZE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var58 == RL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var58 == MGMRTA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var58 == MGMRTA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var58 == MGMRTE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var58 == MGMRTE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var58 == MGMAZE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MA && var58 == RL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MA && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var58 == MGMRTA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MA && var58 == MGMRTA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var58 == MGMRTE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MA && var58 == MGMRTE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var58 == MGMAZE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var58 == RL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var58 == MGMRTA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var58 == MGMRTA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var58 == MGMRTE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var58 == MGMRTE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var58 == MGMAZE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MC && var58 == RL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MC && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var58 == MGMRTA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MC && var58 == MGMRTA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var58 == MGMRTE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MC && var58 == MGMRTE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var58 == MGMAZE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MD && var58 == RL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MD && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var58 == MGMRTA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MD && var58 == MGMRTA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var58 == MGMRTE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MD && var58 == MGMRTE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var58 == MGMAZE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ME && var58 == RL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ME && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var58 == MGMRTA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ME && var58 == MGMRTA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var58 == MGMRTE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ME && var58 == MGMRTE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var58 == MGMAZE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var58 == RL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MF && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var58 == MGMRTA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MF && var58 == MGMRTA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var58 == MGMRTE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var58 == MGMRTE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var58 == MGMAZE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var58 == RL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var58 == MGMRTA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var58 == MGMRTA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var58 == MGMRTE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var58 == MGMRTE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var58 == MGMAZE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MK && var58 == RL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MK && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var58 == MGMRTA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MK && var58 == MGMRTA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var58 == MGMRTE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MK && var58 == MGMRTE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var58 == MGMAZE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ML && var58 == RL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ML && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var58 == MGMRTA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ML && var58 == MGMRTA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var58 == MGMRTE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ML && var58 == MGMRTE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var58 == MGMAZE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MM && var58 == RL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MM && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var58 == MGMRTA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MM && var58 == MGMRTA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var58 == MGMRTE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MM && var58 == MGMRTE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var58 == MGMAZE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MS && var58 == RL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MS && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var58 == MGMRTA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MS && var58 == MGMRTA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var58 == MGMRTE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MS && var58 == MGMRTE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var58 == MGMAZE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MT && var58 == RL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MT && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var58 == MGMRTA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MT && var58 == MGMRTA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var58 == MGMRTE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MT && var58 == MGMRTE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var58 == MGMAZE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MU && var58 == RL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MU && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var58 == MGMRTA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MU && var58 == MGMRTA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var58 == MGMRTE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MU && var58 == MGMRTE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var58 == MGMAZE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MN && var58 == RL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MN && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var58 == MGMRTA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MN && var58 == MGMRTA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var58 == MGMRTE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MN && var58 == MGMRTE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var58 == MGMAZE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MH && var58 == RL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MH && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var58 == MGMRTA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MH && var58 == MGMRTA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var58 == MGMRTE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MH && var58 == MGMRTE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var58 == MGMAZE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var58 == RL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var58 == MGMRTA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var58 == MGMRTA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var58 == MGMRTE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var58 == MGMRTE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var58 == MGMAZE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MY && var58 == RL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MY && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var58 == MGMRTA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MY && var58 == MGMRTA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var58 == MGMRTE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MY && var58 == MGMRTE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var58 == MGMAZE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var58 == RL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var58 == MGMRTA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var58 == MGMRTA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var58 == MGMRTE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var58 == MGMRTE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var58 == MGMAZE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var58 == RL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var58 == MGMRTA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var58 == MGMRTA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var58 == MGMRTE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var58 == MGMRTE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var58 == MGMAZE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var58 == RL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var58 == MGMRTA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var58 == MGMRTA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var58 == MGMRTE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var58 == MGMRTE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var58 == MGMAZE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var58 == RXE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var58 == MGMRXT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var58 == RXE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var58 == MGMRXT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var58 == RXE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var58 == MGMRXT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var58 == RXE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var58 == MGMRXT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var58 == RXE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var58 == MGMRXT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var58 == RXE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var58 == MGMRXT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var58 == RXE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var58 == MGMRXT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var58 == RXE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var58 == MGMRXT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var58 == RXE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var58 == MGMRXT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var58 == RXE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var58 == MGMRXT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var58 == RXE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var58 == MGMRXT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var58 == RXE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var58 == MGMRXT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var58 == RXE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var58 == MGMRXT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var58 == RXE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var58 == MGMRXT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var58 == RXE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var58 == MGMRXT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var58 == RXE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var58 == MGMRXT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var58 == RXE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var58 == MGMRXT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var58 == RXE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var58 == MGMRXT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var58 == RXE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var58 == MGMRXT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var58 == SMONEQ && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var58 == MGMRXT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var58 == RXE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var58 == MGMRXT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var58 == RXE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var58 == MGMRXT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var58 == RXE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var58 == MGMRXT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var58 == RXE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var58 == MGMRXT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var58 == RXE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var58 == MGMRXT && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var58 == MGMINI && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var58 == MGMINI && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var58 == MGMINI && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var58 == MGMINI && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var58 == MGMINI && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var58 == MGMINI && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var58 == MGMINI && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var58 == MGMINI && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var58 == MGMINI && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var58 == MGMINI && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var58 == MGMINI && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var58 == MGMINI && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var58 == MGMINI && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var58 == MGMINI && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var58 == MGMINI && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var58 == MGMINI && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var58 == MGMINI && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var58 == MGMINI && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var58 == MGMINI && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var58 == MGMINI && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var58 == MGMINI && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var58 == MGMINI && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var58 == MGMINI && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var58 == MGMINI && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var58 == MGMINI && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var58 == MGMRTA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var58 == MGMRTA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var58 == RN && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var58 == RT && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var58 == MGMRTA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var58 == SMONEQ && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var58 == SMONEQ && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var58 == SMONEQ && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var58 == SMONEQ && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var58 == SMONEQ && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var58 == SMONEQ && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var58 == SMONEQ && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var58 == SMONEQ && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var58 == SMONEQ && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var58 == SMONEQ && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var58 == SMONEQ && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var58 == SMONEQ && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var58 == SMONEQ && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) ) ); ( ( ( var1 == S64 && var2 == E1 && var5 == FRAN && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == FRAN && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == FRAN && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == FRAN && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == FRAN && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == FRAN && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == FRAN && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DOTO && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DOTO && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DOTO && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DOTO && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DOTO && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DOTO && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DOTO && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ALLE && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ALLE && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ALLE && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ALLE && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ALLE && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ALLE && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ALLE && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == AUTR && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == AUTR && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == AUTR && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == AUTR && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == AUTR && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == AUTR && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == AUTR && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == BELG && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == BELG && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == BELG && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == BELG && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == BELG && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == BELG && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == BELG && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DANE && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DANE && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DANE && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DANE && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DANE && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DANE && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ESPA && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ESPA && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ESPA && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ESPA && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ESPA && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ESPA && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ESPA && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == FINL && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == FINL && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == FINL && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == FINL && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == FINL && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == FINL && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == GRBR && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == GRBR && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == GRBR && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == GRBR && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == GRBR && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == GRBR && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == GRBR && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == GREC && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == GREC && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == GREC && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == GREC && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == GREC && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == GREC && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == GREC && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == HOLL && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == HOLL && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == HOLL && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == HOLL && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == HOLL && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == HOLL && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == HOLL && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == IRLA && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == IRLA && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == IRLA && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == IRLA && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == IRLA && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == IRLA && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == IRLA && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ISLA && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ISLA && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ISLA && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ISLA && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ISLA && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ISLA && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ISLA && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ITAL && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ITAL && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ITAL && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ITAL && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ITAL && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ITAL && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ITAL && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == NORV && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == NORV && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == NORV && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == NORV && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == NORV && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == NORV && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == PORT && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == PORT && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == PORT && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == PORT && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == PORT && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == PORT && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == PORT && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == SUED && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == SUED && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == SUED && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == SUED && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == SUED && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == SUED && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == SUIS && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == SUIS && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == SUIS && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == SUIS && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == SUIS && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == SUIS && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == SUIS && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == YOUG && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == YOUG && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == YOUG && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == YOUG && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == YOUG && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == YOUG && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == YOUG && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == MAGH && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == MAGH && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == MAGH && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == MAGH && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == MAGH && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == MAGH && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == MAGH && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == TURQ && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == TURQ && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == TURQ && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == TURQ && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == TURQ && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == TURQ && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == TURQ && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ARGE && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ARGE && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ARGE && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ARGE && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ARGE && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ARGE && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ARGE && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == BRES && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == BRES && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == BRES && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == BRES && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == BRES && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == BRES && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == BRES && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == CHIL && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == CHIL && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == CHIL && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == CHIL && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == CHIL && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == CHIL && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == CHIL && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == COLO && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == COLO && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == COLO && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == COLO && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == COLO && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == COLO && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == COLO && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == AFSU && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == AFSU && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == AFSU && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == AFSU && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == AFSU && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == AFSU && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == AFSU && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == MARO && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == MARO && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == MARO && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == MARO && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == MARO && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == MARO && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == MARO && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ISRA && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ISRA && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ISRA && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ISRA && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ISRA && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ISRA && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ISRA && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == HONG && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == HONG && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == HONG && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == HONG && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == HONG && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == HONG && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == HONG && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == TCHE && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == TCHE && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == TCHE && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == TCHE && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == TCHE && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == TCHE && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == TCHE && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == POLO && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == POLO && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == POLO && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == POLO && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == POLO && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == POLO && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == POLO && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == SLVQ && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == SLVQ && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == SLVQ && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == SLVQ && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == SLVQ && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == SLVQ && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == SLVQ && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == JAPO && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == JAPO && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == JAPO && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == JAPO && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == JAPO && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == JAPO && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == JAPO && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == TAIW && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == TAIW && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == TAIW && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == TAIW && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == TAIW && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == TAIW && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == TAIW && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == AUST && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == AUST && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == AUST && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == AUST && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == AUST && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == AUST && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == AUST && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == URUG && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == URUG && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == URUG && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == URUG && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == URUG && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == URUG && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == URUG && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DAIB && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DAIB && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DAIB && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DAIB && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DAIB && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DAIB && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DAIB && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DAIC && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DAIC && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DAIC && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DAIC && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DAIC && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DAIC && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DAIC && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DAID && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DAID && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DAID && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DAID && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DAID && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DAID && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DAID && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DAIF && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DAIF && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DAIF && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DAIF && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DAIF && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DAIF && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DAIF && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == EUOR && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == EUOR && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == EUOR && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == EUOR && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == EUOR && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == EUOR && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == EUOR && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == CETI && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == CETI && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == CETI && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == CETI && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == CETI && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == CETI && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == CETI && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == FRAN && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == FRAN && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == FRAN && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == FRAN && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == FRAN && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == FRAN && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == FRAN && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == FRAN && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DOTO && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DOTO && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DOTO && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DOTO && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DOTO && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DOTO && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DOTO && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DOTO && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ALLE && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ALLE && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ALLE && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ALLE && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ALLE && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ALLE && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ALLE && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ALLE && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == AUTR && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == AUTR && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == AUTR && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == AUTR && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == AUTR && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == AUTR && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == AUTR && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == AUTR && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == BELG && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == BELG && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == BELG && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == BELG && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == BELG && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == BELG && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == BELG && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == BELG && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DANE && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DANE && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DANE && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DANE && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DANE && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DANE && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DANE && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DANE && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ESPA && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ESPA && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ESPA && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ESPA && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ESPA && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ESPA && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ESPA && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ESPA && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == FINL && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == FINL && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == FINL && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == FINL && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == FINL && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == FINL && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == FINL && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == FINL && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == GRBR && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == GRBR && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == GRBR && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == GRBR && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == GRBR && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == GRBR && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == GRBR && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == GRBR && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == GREC && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == GREC && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == GREC && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == GREC && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == GREC && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == GREC && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == GREC && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == GREC && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == HOLL && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == HOLL && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == HOLL && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == HOLL && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == HOLL && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == HOLL && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == HOLL && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == HOLL && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == IRLA && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == IRLA && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == IRLA && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == IRLA && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == IRLA && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == IRLA && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == IRLA && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == IRLA && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ISLA && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ISLA && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ISLA && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ISLA && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ISLA && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ISLA && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ISLA && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ISLA && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ITAL && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ITAL && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ITAL && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ITAL && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ITAL && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ITAL && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ITAL && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ITAL && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == NORV && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == NORV && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == NORV && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == NORV && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == NORV && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == NORV && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == NORV && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == NORV && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == PORT && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == PORT && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == PORT && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == PORT && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == PORT && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == PORT && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == PORT && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == PORT && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == SUED && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == SUED && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == SUED && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == SUED && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == SUED && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == SUED && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == SUED && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == SUED && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == SUIS && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == SUIS && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == SUIS && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == SUIS && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == SUIS && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == SUIS && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == SUIS && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == SUIS && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == YOUG && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == YOUG && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == YOUG && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == YOUG && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == YOUG && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == YOUG && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == YOUG && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == YOUG && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == MAGH && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == MAGH && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == MAGH && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == MAGH && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == MAGH && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == MAGH && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == MAGH && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == MAGH && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == TURQ && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == TURQ && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == TURQ && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == TURQ && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == TURQ && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == TURQ && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == TURQ && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == TURQ && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ARGE && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ARGE && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ARGE && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ARGE && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ARGE && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ARGE && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ARGE && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ARGE && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == BRES && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == BRES && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == BRES && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == BRES && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == BRES && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == BRES && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == BRES && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == BRES && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == CHIL && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == CHIL && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == CHIL && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == CHIL && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == CHIL && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == CHIL && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == CHIL && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == CHIL && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == COLO && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == COLO && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == COLO && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == COLO && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == COLO && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == COLO && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == COLO && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == COLO && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == AFSU && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == AFSU && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == AFSU && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == AFSU && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == AFSU && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == AFSU && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == AFSU && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == AFSU && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == MARO && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == MARO && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == MARO && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == MARO && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == MARO && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == MARO && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == MARO && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == MARO && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ISRA && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ISRA && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ISRA && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ISRA && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ISRA && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ISRA && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ISRA && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ISRA && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == HONG && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == HONG && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == HONG && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == HONG && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == HONG && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == HONG && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == HONG && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == HONG && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == TCHE && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == TCHE && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == TCHE && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == TCHE && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == TCHE && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == TCHE && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == TCHE && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == TCHE && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == POLO && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == POLO && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == POLO && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == POLO && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == POLO && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == POLO && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == POLO && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == POLO && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == SLVQ && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == SLVQ && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == SLVQ && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == SLVQ && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == SLVQ && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == SLVQ && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == SLVQ && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == SLVQ && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == JAPO && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == JAPO && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == JAPO && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == JAPO && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == JAPO && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == JAPO && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == JAPO && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == JAPO && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == TAIW && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == TAIW && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == TAIW && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == TAIW && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == TAIW && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == TAIW && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == TAIW && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == TAIW && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == AUST && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == AUST && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == AUST && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == AUST && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == AUST && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == AUST && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == AUST && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == AUST && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == URUG && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == URUG && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == URUG && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == URUG && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == URUG && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == URUG && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == URUG && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == URUG && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DAIB && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DAIB && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DAIB && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DAIB && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DAIB && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DAIB && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DAIB && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DAIB && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DAIC && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DAIC && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DAIC && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DAIC && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DAIC && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DAIC && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DAIC && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DAIC && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DAID && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DAID && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DAID && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DAID && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DAID && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DAID && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DAID && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DAID && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DAIF && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DAIF && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DAIF && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DAIF && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DAIF && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DAIF && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DAIF && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DAIF && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == EUOR && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == EUOR && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == EUOR && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == EUOR && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == EUOR && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == EUOR && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == EUOR && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == EUOR && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == CETI && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == CETI && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == CETI && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == CETI && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == CETI && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == CETI && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == CETI && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == CETI && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == FRAN && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == FRAN && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == FRAN && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == FRAN && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == FRAN && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == FRAN && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == FRAN && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == FRAN && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == FRAN && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == FRAN && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == FRAN && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DOTO && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DOTO && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DOTO && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DOTO && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DOTO && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DOTO && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DOTO && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DOTO && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DOTO && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DOTO && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DOTO && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ALLE && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ALLE && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ALLE && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ALLE && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ALLE && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ALLE && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ALLE && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ALLE && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ALLE && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ALLE && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ALLE && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == AUTR && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == AUTR && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == AUTR && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == AUTR && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == AUTR && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == AUTR && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == AUTR && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == AUTR && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == AUTR && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == AUTR && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == AUTR && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == BELG && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == BELG && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == BELG && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == BELG && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == BELG && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == BELG && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == BELG && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == BELG && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == BELG && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == BELG && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == BELG && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DANE && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DANE && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DANE && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DANE && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DANE && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DANE && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DANE && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DANE && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DANE && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DANE && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ESPA && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ESPA && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ESPA && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ESPA && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ESPA && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ESPA && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ESPA && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ESPA && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ESPA && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ESPA && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ESPA && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == FINL && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == FINL && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == FINL && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == FINL && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == FINL && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == FINL && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == FINL && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == FINL && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == FINL && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == FINL && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == GRBR && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == GRBR && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == GRBR && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == GRBR && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == GRBR && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == GRBR && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == GRBR && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == GRBR && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == GRBR && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == GRBR && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == GRBR && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == GREC && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == GREC && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == GREC && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == GREC && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == GREC && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == GREC && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == GREC && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == GREC && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == GREC && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == GREC && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == GREC && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == HOLL && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == HOLL && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == HOLL && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == HOLL && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == HOLL && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == HOLL && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == HOLL && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == HOLL && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == HOLL && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == HOLL && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == HOLL && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == IRLA && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == IRLA && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == IRLA && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == IRLA && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == IRLA && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == IRLA && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == IRLA && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == IRLA && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == IRLA && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == IRLA && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == IRLA && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ISLA && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ISLA && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ISLA && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ISLA && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ISLA && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ISLA && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ISLA && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ISLA && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ISLA && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ISLA && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ISLA && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ITAL && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ITAL && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ITAL && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ITAL && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ITAL && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ITAL && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ITAL && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ITAL && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ITAL && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ITAL && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ITAL && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == NORV && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == NORV && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == NORV && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == NORV && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == NORV && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == NORV && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == NORV && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == NORV && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == NORV && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == NORV && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == PORT && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == PORT && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == PORT && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == PORT && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == PORT && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == PORT && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == PORT && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == PORT && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == PORT && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == PORT && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == PORT && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == SUED && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == SUED && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == SUED && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == SUED && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == SUED && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == SUED && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == SUED && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == SUED && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == SUED && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == SUED && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == SUIS && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == SUIS && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == SUIS && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == SUIS && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == SUIS && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == SUIS && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == SUIS && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == SUIS && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == SUIS && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == SUIS && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == SUIS && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == YOUG && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == YOUG && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == YOUG && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == YOUG && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == YOUG && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == YOUG && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == YOUG && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == YOUG && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == YOUG && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == YOUG && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == YOUG && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == MAGH && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == MAGH && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == MAGH && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == MAGH && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == MAGH && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == MAGH && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == MAGH && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == MAGH && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == MAGH && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == MAGH && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == MAGH && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == TURQ && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == TURQ && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == TURQ && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == TURQ && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == TURQ && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == TURQ && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == TURQ && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == TURQ && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == TURQ && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == TURQ && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == TURQ && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ARGE && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ARGE && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ARGE && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ARGE && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ARGE && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ARGE && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ARGE && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ARGE && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ARGE && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ARGE && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ARGE && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == BRES && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == BRES && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == BRES && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == BRES && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == BRES && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == BRES && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == BRES && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == BRES && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == BRES && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == BRES && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == BRES && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == CHIL && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == CHIL && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == CHIL && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == CHIL && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == CHIL && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == CHIL && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == CHIL && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == CHIL && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == CHIL && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == CHIL && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == CHIL && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == COLO && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == COLO && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == COLO && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == COLO && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == COLO && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == COLO && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == COLO && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == COLO && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == COLO && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == COLO && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == COLO && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == AFSU && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == AFSU && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == AFSU && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == AFSU && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == AFSU && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == AFSU && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == AFSU && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == AFSU && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == AFSU && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == AFSU && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == AFSU && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == MARO && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == MARO && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == MARO && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == MARO && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == MARO && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == MARO && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == MARO && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == MARO && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == MARO && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == MARO && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == MARO && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ISRA && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ISRA && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ISRA && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ISRA && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ISRA && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ISRA && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ISRA && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ISRA && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ISRA && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ISRA && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ISRA && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == HONG && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == HONG && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == HONG && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == HONG && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == HONG && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == HONG && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == HONG && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == HONG && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == HONG && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == HONG && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == HONG && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == TCHE && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == TCHE && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == TCHE && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == TCHE && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == TCHE && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == TCHE && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == TCHE && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == TCHE && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == TCHE && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == TCHE && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == TCHE && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == POLO && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == POLO && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == POLO && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == POLO && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == POLO && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == POLO && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == POLO && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == POLO && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == POLO && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == POLO && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == POLO && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == SLVQ && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == SLVQ && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == SLVQ && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == SLVQ && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == SLVQ && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == SLVQ && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == SLVQ && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == SLVQ && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == SLVQ && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == SLVQ && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == SLVQ && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == JAPO && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == JAPO && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == JAPO && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == JAPO && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == JAPO && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == JAPO && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == JAPO && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == JAPO && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == JAPO && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == JAPO && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == JAPO && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == TAIW && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == TAIW && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == TAIW && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == TAIW && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == TAIW && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == TAIW && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == TAIW && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == TAIW && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == TAIW && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == TAIW && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == TAIW && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == AUST && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == AUST && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == AUST && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == AUST && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == AUST && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == AUST && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == AUST && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == AUST && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == AUST && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == AUST && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == AUST && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == URUG && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == URUG && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == URUG && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == URUG && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == URUG && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == URUG && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == URUG && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == URUG && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == URUG && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == URUG && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == URUG && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAIB && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAIB && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAIB && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAIB && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAIB && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAIB && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAIB && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAIB && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAIB && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAIB && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAIB && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAIC && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAIC && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAIC && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAIC && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAIC && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAIC && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAIC && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAIC && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAIC && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAIC && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAIC && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAID && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAID && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAID && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAID && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAID && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAID && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAID && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAID && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAID && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAID && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAID && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAIF && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAIF && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAIF && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAIF && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAIF && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAIF && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAIF && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAIF && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAIF && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAIF && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAIF && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == EUOR && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == EUOR && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == EUOR && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == EUOR && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == EUOR && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == EUOR && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == EUOR && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == EUOR && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == EUOR && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == EUOR && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == EUOR && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == CETI && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == CETI && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == CETI && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == CETI && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == CETI && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == CETI && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == CETI && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == CETI && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == CETI && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == CETI && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == CETI && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == FRAN && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == FRAN && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == FRAN && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == FRAN && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == FRAN && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == FRAN && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == FRAN && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == FRAN && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == FRAN && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == FRAN && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == FRAN && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == FRAN && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == FRAN && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == FRAN && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == FRAN && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == FRAN && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == FRAN && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == FRAN && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == FRAN && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == FRAN && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == FRAN && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == FRAN && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == FRAN && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == FRAN && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == FRAN && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == FRAN && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == FRAN && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == FRAN && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == FRAN && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == FRAN && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == FRAN && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == FRAN && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DOTO && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DOTO && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DOTO && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DOTO && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == DOTO && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DOTO && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DOTO && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DOTO && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DOTO && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DOTO && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DOTO && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DOTO && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == DOTO && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DOTO && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DOTO && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DOTO && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DOTO && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DOTO && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DOTO && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DOTO && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == DOTO && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DOTO && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DOTO && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DOTO && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DOTO && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DOTO && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DOTO && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DOTO && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == DOTO && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DOTO && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DOTO && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DOTO && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ALLE && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ALLE && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ALLE && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ALLE && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == ALLE && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ALLE && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ALLE && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ALLE && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ALLE && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ALLE && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ALLE && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ALLE && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == ALLE && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ALLE && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ALLE && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ALLE && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ALLE && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ALLE && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ALLE && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ALLE && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == ALLE && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ALLE && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ALLE && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ALLE && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ALLE && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ALLE && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ALLE && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ALLE && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == ALLE && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ALLE && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ALLE && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ALLE && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AUTR && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AUTR && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == AUTR && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AUTR && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == AUTR && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AUTR && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AUTR && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == AUTR && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AUTR && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AUTR && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == AUTR && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AUTR && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == AUTR && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AUTR && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AUTR && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == AUTR && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AUTR && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AUTR && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == AUTR && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AUTR && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == AUTR && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AUTR && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AUTR && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == AUTR && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AUTR && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AUTR && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == AUTR && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AUTR && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == AUTR && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AUTR && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AUTR && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == AUTR && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == BELG && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == BELG && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == BELG && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == BELG && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == BELG && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == BELG && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == BELG && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == BELG && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == BELG && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == BELG && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == BELG && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == BELG && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == BELG && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == BELG && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == BELG && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == BELG && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == BELG && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == BELG && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == BELG && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == BELG && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == BELG && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == BELG && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == BELG && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == BELG && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == BELG && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == BELG && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == BELG && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == BELG && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == BELG && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == BELG && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == BELG && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == BELG && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DANE && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DANE && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DANE && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DANE && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == DANE && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DANE && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DANE && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DANE && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DANE && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DANE && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DANE && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DANE && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == DANE && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DANE && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DANE && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DANE && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DANE && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DANE && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DANE && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DANE && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == DANE && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DANE && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DANE && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DANE && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DANE && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DANE && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DANE && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DANE && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == DANE && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DANE && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DANE && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DANE && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ESPA && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ESPA && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ESPA && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ESPA && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == ESPA && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ESPA && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ESPA && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ESPA && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ESPA && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ESPA && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ESPA && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ESPA && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == ESPA && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ESPA && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ESPA && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ESPA && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ESPA && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ESPA && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ESPA && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ESPA && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == ESPA && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ESPA && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ESPA && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ESPA && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ESPA && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ESPA && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ESPA && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ESPA && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == ESPA && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ESPA && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ESPA && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ESPA && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == FINL && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == FINL && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == FINL && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == FINL && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == FINL && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == FINL && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == FINL && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == FINL && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == FINL && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == FINL && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == FINL && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == FINL && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == FINL && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == FINL && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == FINL && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == FINL && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == FINL && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == FINL && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == FINL && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == FINL && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == FINL && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == FINL && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == FINL && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == FINL && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == FINL && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == FINL && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == FINL && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == FINL && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == FINL && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == FINL && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == FINL && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == FINL && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GRBR && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GRBR && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == GRBR && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GRBR && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == GRBR && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GRBR && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GRBR && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == GRBR && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GRBR && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GRBR && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == GRBR && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GRBR && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == GRBR && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GRBR && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GRBR && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == GRBR && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GRBR && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GRBR && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == GRBR && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GRBR && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == GRBR && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GRBR && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GRBR && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == GRBR && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GRBR && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GRBR && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == GRBR && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GRBR && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == GRBR && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GRBR && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GRBR && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == GRBR && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GREC && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GREC && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == GREC && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GREC && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == GREC && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GREC && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GREC && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == GREC && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GREC && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GREC && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == GREC && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GREC && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == GREC && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GREC && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GREC && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == GREC && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GREC && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GREC && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == GREC && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GREC && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == GREC && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GREC && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GREC && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == GREC && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GREC && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GREC && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == GREC && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GREC && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == GREC && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GREC && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GREC && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == GREC && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HOLL && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HOLL && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == HOLL && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HOLL && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == HOLL && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HOLL && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HOLL && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == HOLL && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HOLL && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HOLL && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == HOLL && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HOLL && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == HOLL && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HOLL && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HOLL && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == HOLL && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HOLL && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HOLL && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == HOLL && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HOLL && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == HOLL && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HOLL && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HOLL && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == HOLL && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HOLL && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HOLL && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == HOLL && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HOLL && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == HOLL && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HOLL && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HOLL && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == HOLL && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == IRLA && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == IRLA && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == IRLA && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == IRLA && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == IRLA && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == IRLA && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == IRLA && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == IRLA && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == IRLA && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == IRLA && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == IRLA && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == IRLA && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == IRLA && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == IRLA && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == IRLA && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == IRLA && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == IRLA && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == IRLA && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == IRLA && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == IRLA && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == IRLA && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == IRLA && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == IRLA && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == IRLA && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == IRLA && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == IRLA && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == IRLA && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == IRLA && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == IRLA && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == IRLA && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == IRLA && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == IRLA && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ISLA && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ISLA && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ISLA && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ISLA && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == ISLA && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ISLA && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ISLA && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ISLA && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ISLA && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ISLA && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ISLA && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ISLA && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == ISLA && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ISLA && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ISLA && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ISLA && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ISLA && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ISLA && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ISLA && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ISLA && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == ISLA && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ISLA && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ISLA && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ISLA && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ISLA && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ISLA && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ISLA && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ISLA && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == ISLA && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ISLA && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ISLA && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ISLA && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ITAL && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ITAL && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ITAL && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ITAL && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == ITAL && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ITAL && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ITAL && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ITAL && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ITAL && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ITAL && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ITAL && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ITAL && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == ITAL && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ITAL && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ITAL && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ITAL && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ITAL && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ITAL && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ITAL && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ITAL && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == ITAL && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ITAL && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ITAL && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ITAL && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ITAL && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ITAL && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ITAL && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ITAL && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == ITAL && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ITAL && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ITAL && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ITAL && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == NORV && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == NORV && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == NORV && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == NORV && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == NORV && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == NORV && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == NORV && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == NORV && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == NORV && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == NORV && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == NORV && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == NORV && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == NORV && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == NORV && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == NORV && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == NORV && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == NORV && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == NORV && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == NORV && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == NORV && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == NORV && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == NORV && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == NORV && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == NORV && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == NORV && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == NORV && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == NORV && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == NORV && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == NORV && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == NORV && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == NORV && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == NORV && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == PORT && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == PORT && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == PORT && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == PORT && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == PORT && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == PORT && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == PORT && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == PORT && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == PORT && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == PORT && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == PORT && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == PORT && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == PORT && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == PORT && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == PORT && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == PORT && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == PORT && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == PORT && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == PORT && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == PORT && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == PORT && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == PORT && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == PORT && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == PORT && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == PORT && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == PORT && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == PORT && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == PORT && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == PORT && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == PORT && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == PORT && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == PORT && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SUED && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SUED && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == SUED && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SUED && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == SUED && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SUED && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SUED && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == SUED && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SUED && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SUED && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == SUED && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SUED && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == SUED && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SUED && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SUED && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == SUED && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SUED && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SUED && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == SUED && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SUED && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == SUED && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SUED && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SUED && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == SUED && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SUED && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SUED && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == SUED && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SUED && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == SUED && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SUED && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SUED && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == SUED && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SUIS && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SUIS && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == SUIS && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SUIS && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == SUIS && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SUIS && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SUIS && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == SUIS && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SUIS && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SUIS && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == SUIS && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SUIS && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == SUIS && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SUIS && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SUIS && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == SUIS && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SUIS && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SUIS && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == SUIS && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SUIS && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == SUIS && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SUIS && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SUIS && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == SUIS && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SUIS && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SUIS && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == SUIS && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SUIS && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == SUIS && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SUIS && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SUIS && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == SUIS && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == YOUG && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == YOUG && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == YOUG && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == YOUG && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == YOUG && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == YOUG && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == YOUG && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == YOUG && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == YOUG && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == YOUG && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == YOUG && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == YOUG && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == YOUG && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == YOUG && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == YOUG && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == YOUG && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == YOUG && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == YOUG && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == YOUG && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == YOUG && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == YOUG && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == YOUG && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == YOUG && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == YOUG && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == YOUG && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == YOUG && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == YOUG && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == YOUG && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == YOUG && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == YOUG && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == YOUG && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == YOUG && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == MAGH && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == MAGH && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == MAGH && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == MAGH && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == MAGH && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == MAGH && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == MAGH && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == MAGH && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == MAGH && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == MAGH && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == MAGH && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == MAGH && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == MAGH && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == MAGH && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == MAGH && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == MAGH && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == MAGH && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == MAGH && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == MAGH && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == MAGH && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == MAGH && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == MAGH && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == MAGH && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == MAGH && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == MAGH && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == MAGH && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == MAGH && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == MAGH && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == MAGH && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == MAGH && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == MAGH && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == MAGH && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TURQ && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TURQ && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == TURQ && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TURQ && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == TURQ && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TURQ && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TURQ && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == TURQ && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TURQ && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TURQ && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == TURQ && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TURQ && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == TURQ && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TURQ && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TURQ && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == TURQ && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TURQ && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TURQ && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == TURQ && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TURQ && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == TURQ && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TURQ && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TURQ && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == TURQ && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TURQ && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TURQ && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == TURQ && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TURQ && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == TURQ && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TURQ && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TURQ && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == TURQ && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ARGE && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ARGE && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ARGE && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ARGE && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == ARGE && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ARGE && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ARGE && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ARGE && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ARGE && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ARGE && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ARGE && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ARGE && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == ARGE && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ARGE && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ARGE && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ARGE && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ARGE && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ARGE && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ARGE && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ARGE && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == ARGE && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ARGE && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ARGE && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ARGE && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ARGE && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ARGE && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ARGE && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ARGE && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == ARGE && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ARGE && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ARGE && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ARGE && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == BRES && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == BRES && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == BRES && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == BRES && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == BRES && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == BRES && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == BRES && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == BRES && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == BRES && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == BRES && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == BRES && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == BRES && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == BRES && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == BRES && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == BRES && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == BRES && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == BRES && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == BRES && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == BRES && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == BRES && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == BRES && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == BRES && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == BRES && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == BRES && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == BRES && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == BRES && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == BRES && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == BRES && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == BRES && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == BRES && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == BRES && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == BRES && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == CHIL && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == CHIL && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == CHIL && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == CHIL && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == CHIL && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == CHIL && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == CHIL && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == CHIL && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == CHIL && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == CHIL && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == CHIL && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == CHIL && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == CHIL && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == CHIL && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == CHIL && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == CHIL && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == CHIL && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == CHIL && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == CHIL && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == CHIL && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == CHIL && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == CHIL && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == CHIL && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == CHIL && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == CHIL && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == CHIL && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == CHIL && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == CHIL && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == CHIL && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == CHIL && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == CHIL && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == CHIL && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == COLO && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == COLO && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == COLO && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == COLO && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == COLO && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == COLO && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == COLO && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == COLO && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == COLO && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == COLO && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == COLO && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == COLO && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == COLO && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == COLO && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == COLO && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == COLO && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == COLO && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == COLO && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == COLO && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == COLO && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == COLO && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == COLO && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == COLO && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == COLO && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == COLO && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == COLO && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == COLO && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == COLO && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == COLO && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == COLO && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == COLO && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == COLO && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AFSU && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AFSU && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == AFSU && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AFSU && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == AFSU && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AFSU && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AFSU && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == AFSU && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AFSU && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AFSU && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == AFSU && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AFSU && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == AFSU && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AFSU && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AFSU && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == AFSU && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AFSU && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AFSU && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == AFSU && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AFSU && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == AFSU && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AFSU && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AFSU && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == AFSU && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AFSU && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AFSU && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == AFSU && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AFSU && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == AFSU && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AFSU && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AFSU && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == AFSU && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == MARO && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == MARO && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == MARO && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == MARO && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == MARO && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == MARO && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == MARO && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == MARO && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == MARO && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == MARO && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == MARO && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == MARO && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == MARO && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == MARO && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == MARO && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == MARO && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == MARO && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == MARO && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == MARO && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == MARO && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == MARO && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == MARO && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == MARO && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == MARO && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == MARO && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == MARO && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == MARO && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == MARO && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == MARO && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == MARO && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == MARO && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == MARO && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ISRA && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ISRA && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ISRA && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ISRA && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == ISRA && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ISRA && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ISRA && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ISRA && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ISRA && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ISRA && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ISRA && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ISRA && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == ISRA && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ISRA && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ISRA && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ISRA && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ISRA && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ISRA && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ISRA && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ISRA && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == ISRA && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ISRA && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ISRA && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ISRA && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ISRA && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ISRA && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ISRA && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ISRA && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == ISRA && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ISRA && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ISRA && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ISRA && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HONG && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HONG && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == HONG && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HONG && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == HONG && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HONG && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HONG && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == HONG && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HONG && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HONG && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == HONG && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HONG && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == HONG && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HONG && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HONG && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == HONG && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HONG && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HONG && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == HONG && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HONG && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == HONG && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HONG && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HONG && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == HONG && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HONG && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HONG && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == HONG && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HONG && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == HONG && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HONG && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HONG && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == HONG && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TCHE && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TCHE && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == TCHE && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TCHE && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == TCHE && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TCHE && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TCHE && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == TCHE && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TCHE && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TCHE && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == TCHE && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TCHE && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == TCHE && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TCHE && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TCHE && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == TCHE && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TCHE && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TCHE && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == TCHE && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TCHE && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == TCHE && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TCHE && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TCHE && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == TCHE && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TCHE && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TCHE && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == TCHE && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TCHE && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == TCHE && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TCHE && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TCHE && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == TCHE && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == POLO && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == POLO && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == POLO && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == POLO && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == POLO && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == POLO && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == POLO && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == POLO && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == POLO && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == POLO && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == POLO && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == POLO && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == POLO && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == POLO && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == POLO && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == POLO && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == POLO && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == POLO && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == POLO && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == POLO && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == POLO && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == POLO && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == POLO && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == POLO && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == POLO && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == POLO && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == POLO && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == POLO && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == POLO && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == POLO && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == POLO && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == POLO && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SLVQ && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SLVQ && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == SLVQ && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SLVQ && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == SLVQ && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SLVQ && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SLVQ && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == SLVQ && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SLVQ && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SLVQ && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == SLVQ && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SLVQ && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == SLVQ && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SLVQ && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SLVQ && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == SLVQ && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SLVQ && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SLVQ && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == SLVQ && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SLVQ && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == SLVQ && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SLVQ && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SLVQ && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == SLVQ && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SLVQ && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SLVQ && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == SLVQ && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SLVQ && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == SLVQ && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SLVQ && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SLVQ && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == SLVQ && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == JAPO && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == JAPO && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == JAPO && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == JAPO && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == JAPO && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == JAPO && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == JAPO && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == JAPO && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == JAPO && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == JAPO && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == JAPO && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == JAPO && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == JAPO && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == JAPO && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == JAPO && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == JAPO && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == JAPO && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == JAPO && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == JAPO && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == JAPO && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == JAPO && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == JAPO && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == JAPO && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == JAPO && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == JAPO && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == JAPO && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == JAPO && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == JAPO && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == JAPO && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == JAPO && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == JAPO && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == JAPO && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TAIW && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TAIW && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == TAIW && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TAIW && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == TAIW && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TAIW && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TAIW && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == TAIW && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TAIW && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TAIW && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == TAIW && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TAIW && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == TAIW && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TAIW && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TAIW && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == TAIW && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TAIW && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TAIW && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == TAIW && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TAIW && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == TAIW && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TAIW && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TAIW && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == TAIW && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TAIW && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TAIW && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == TAIW && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TAIW && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == TAIW && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TAIW && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TAIW && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == TAIW && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AUST && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AUST && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == AUST && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AUST && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == AUST && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AUST && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AUST && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == AUST && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AUST && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AUST && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == AUST && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AUST && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == AUST && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AUST && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AUST && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == AUST && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AUST && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AUST && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == AUST && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AUST && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == AUST && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AUST && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AUST && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == AUST && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AUST && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AUST && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == AUST && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AUST && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == AUST && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AUST && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AUST && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == AUST && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == URUG && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == URUG && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == URUG && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == URUG && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == URUG && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == URUG && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == URUG && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == URUG && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == URUG && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == URUG && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == URUG && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == URUG && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == URUG && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == URUG && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == URUG && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == URUG && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == URUG && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == URUG && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == URUG && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == URUG && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == URUG && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == URUG && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == URUG && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == URUG && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == URUG && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == URUG && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == URUG && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == URUG && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == URUG && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == URUG && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == URUG && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == URUG && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIB && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIB && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DAIB && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIB && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == DAIB && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIB && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIB && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DAIB && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIB && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIB && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DAIB && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIB && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == DAIB && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIB && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIB && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DAIB && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIB && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIB && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DAIB && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIB && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == DAIB && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIB && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIB && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DAIB && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIB && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIB && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DAIB && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIB && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == DAIB && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIB && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIB && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DAIB && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIC && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIC && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DAIC && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIC && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == DAIC && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIC && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIC && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DAIC && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIC && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIC && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DAIC && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIC && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == DAIC && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIC && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIC && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DAIC && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIC && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIC && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DAIC && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIC && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == DAIC && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIC && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIC && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DAIC && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIC && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIC && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DAIC && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIC && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == DAIC && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIC && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIC && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DAIC && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAID && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAID && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DAID && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAID && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == DAID && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAID && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAID && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DAID && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAID && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAID && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DAID && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAID && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == DAID && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAID && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAID && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DAID && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAID && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAID && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DAID && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAID && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == DAID && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAID && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAID && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DAID && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAID && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAID && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DAID && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAID && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == DAID && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAID && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAID && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DAID && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIF && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIF && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DAIF && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIF && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == DAIF && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIF && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIF && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DAIF && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIF && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIF && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DAIF && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIF && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == DAIF && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIF && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIF && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DAIF && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIF && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIF && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DAIF && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIF && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == DAIF && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIF && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIF && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DAIF && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIF && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIF && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DAIF && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIF && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == DAIF && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIF && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIF && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DAIF && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == EUOR && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == EUOR && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == EUOR && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == EUOR && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == EUOR && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == EUOR && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == EUOR && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == EUOR && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == EUOR && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == EUOR && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == EUOR && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == EUOR && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == EUOR && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == EUOR && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == EUOR && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == EUOR && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == EUOR && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == EUOR && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == EUOR && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == EUOR && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == EUOR && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == EUOR && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == EUOR && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == EUOR && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == EUOR && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == EUOR && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == EUOR && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == EUOR && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == EUOR && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == EUOR && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == EUOR && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == EUOR && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == CETI && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == CETI && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == CETI && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == CETI && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == CETI && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == CETI && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == CETI && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == CETI && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == CETI && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == CETI && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == CETI && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == CETI && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == CETI && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == CETI && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == CETI && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == CETI && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == CETI && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == CETI && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == CETI && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == CETI && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == CETI && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == CETI && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == CETI && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == CETI && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == CETI && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == CETI && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == CETI && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == CETI && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == CETI && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == CETI && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == CETI && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == CETI && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == FRAN && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == FRAN && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == FRAN && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == FRAN && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DOTO && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DOTO && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DOTO && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DOTO && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ALLE && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ALLE && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ALLE && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ALLE && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == AUTR && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == AUTR && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == AUTR && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == AUTR && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == BELG && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == BELG && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == BELG && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == BELG && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DANE && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DANE && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DANE && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DANE && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ESPA && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ESPA && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ESPA && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ESPA && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == FINL && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == FINL && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == FINL && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == FINL && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == GRBR && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == GRBR && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == GRBR && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == GRBR && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == GREC && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == GREC && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == GREC && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == GREC && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == HOLL && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == HOLL && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == HOLL && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == HOLL && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == IRLA && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == IRLA && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == IRLA && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == IRLA && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ISLA && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ISLA && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ISLA && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ISLA && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ITAL && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ITAL && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ITAL && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ITAL && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == NORV && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == NORV && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == NORV && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == NORV && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == PORT && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == PORT && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == PORT && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == PORT && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == SUED && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == SUED && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == SUED && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == SUED && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == SUIS && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == SUIS && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == SUIS && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == SUIS && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == YOUG && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == YOUG && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == YOUG && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == YOUG && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == MAGH && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == MAGH && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == MAGH && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == MAGH && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == TURQ && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == TURQ && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == TURQ && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == TURQ && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ARGE && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ARGE && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ARGE && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ARGE && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == BRES && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == BRES && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == BRES && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == BRES && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == CHIL && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == CHIL && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == CHIL && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == CHIL && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == COLO && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == COLO && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == COLO && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == COLO && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == AFSU && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == AFSU && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == AFSU && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == AFSU && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == MARO && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == MARO && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == MARO && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == MARO && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ISRA && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ISRA && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ISRA && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ISRA && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == HONG && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == HONG && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == HONG && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == HONG && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == TCHE && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == TCHE && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == TCHE && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == TCHE && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == POLO && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == POLO && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == POLO && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == POLO && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == SLVQ && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == SLVQ && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == SLVQ && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == SLVQ && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == JAPO && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == JAPO && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == JAPO && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == JAPO && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == TAIW && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == TAIW && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == TAIW && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == TAIW && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == AUST && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == AUST && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == AUST && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == AUST && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == URUG && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == URUG && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == URUG && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == URUG && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DAIB && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DAIB && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DAIB && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DAIB && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DAIC && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DAIC && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DAIC && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DAIC && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DAID && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DAID && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DAID && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DAID && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DAIF && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DAIF && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DAIF && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DAIF && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == EUOR && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == EUOR && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == EUOR && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == EUOR && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == CETI && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == CETI && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == CETI && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == CETI && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == FRAN && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == FRAN && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == DOTO && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == DOTO && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == ALLE && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == ALLE && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == AUTR && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == AUTR && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == BELG && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == BELG && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == DANE && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == DANE && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == ESPA && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == ESPA && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == FINL && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == FINL && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == GRBR && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == GRBR && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == GREC && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == GREC && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == HOLL && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == HOLL && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == IRLA && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == IRLA && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == ISLA && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == ISLA && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == ITAL && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == ITAL && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == NORV && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == NORV && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == PORT && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == PORT && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == SUED && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == SUED && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == SUIS && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == SUIS && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == YOUG && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == YOUG && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == MAGH && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == MAGH && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == TURQ && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == TURQ && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == ARGE && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == ARGE && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == BRES && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == BRES && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == CHIL && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == CHIL && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == COLO && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == COLO && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == AFSU && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == AFSU && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == MARO && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == MARO && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == ISRA && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == ISRA && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == HONG && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == HONG && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == TCHE && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == TCHE && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == POLO && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == POLO && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == SLVQ && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == SLVQ && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == JAPO && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == JAPO && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == TAIW && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == TAIW && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == AUST && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == AUST && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == URUG && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == URUG && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == DAIB && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == DAIB && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == DAIC && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == DAIC && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == DAID && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == DAID && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == DAIF && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == DAIF && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == EUOR && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == EUOR && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == CETI && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == CETI && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == FRAN && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == FRAN && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == DOTO && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == DOTO && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == ALLE && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == ALLE && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == AUTR && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == AUTR && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == BELG && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == BELG && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == DANE && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == DANE && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == ESPA && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == ESPA && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == FINL && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == FINL && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == GRBR && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == GRBR && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == GREC && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == GREC && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == HOLL && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == HOLL && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == IRLA && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == IRLA && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == ISLA && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == ISLA && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == ITAL && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == ITAL && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == NORV && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == NORV && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == PORT && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == PORT && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == SUED && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == SUED && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == SUIS && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == SUIS && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == YOUG && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == YOUG && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == MAGH && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == MAGH && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == TURQ && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == TURQ && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == ARGE && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == ARGE && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == BRES && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == BRES && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == CHIL && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == CHIL && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == COLO && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == COLO && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == AFSU && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == AFSU && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == MARO && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == MARO && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == ISRA && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == ISRA && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == HONG && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == HONG && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == TCHE && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == TCHE && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == POLO && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == POLO && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == SLVQ && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == SLVQ && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == JAPO && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == JAPO && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == TAIW && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == TAIW && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == AUST && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == AUST && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == URUG && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == URUG && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == DAIB && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == DAIB && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == DAIC && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == DAIC && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == DAID && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == DAID && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == DAIF && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == DAIF && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == EUOR && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == EUOR && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == CETI && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == CETI && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == FRAN && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == FRAN && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == FRAN && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == FRAN && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == FRAN && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == FRAN && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == FRAN && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == FRAN && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DOTO && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DOTO && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DOTO && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DOTO && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DOTO && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DOTO && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DOTO && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DOTO && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ALLE && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ALLE && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ALLE && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ALLE && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ALLE && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ALLE && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ALLE && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ALLE && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == AUTR && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == AUTR && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == AUTR && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == AUTR && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == AUTR && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == AUTR && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == AUTR && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == AUTR && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == BELG && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == BELG && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == BELG && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == BELG && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == BELG && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == BELG && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == BELG && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == BELG && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DANE && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DANE && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DANE && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DANE && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DANE && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DANE && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DANE && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DANE && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ESPA && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ESPA && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ESPA && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ESPA && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ESPA && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ESPA && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ESPA && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ESPA && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == FINL && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == FINL && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == FINL && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == FINL && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == FINL && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == FINL && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == FINL && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == FINL && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == GRBR && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == GRBR && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == GRBR && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == GRBR && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == GRBR && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == GRBR && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == GRBR && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == GRBR && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == GREC && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == GREC && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == GREC && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == GREC && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == GREC && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == GREC && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == GREC && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == GREC && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == HOLL && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == HOLL && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == HOLL && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == HOLL && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == HOLL && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == HOLL && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == HOLL && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == HOLL && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == IRLA && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == IRLA && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == IRLA && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == IRLA && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == IRLA && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == IRLA && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == IRLA && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == IRLA && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ISLA && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ISLA && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ISLA && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ISLA && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ISLA && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ISLA && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ISLA && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ISLA && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ITAL && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ITAL && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ITAL && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ITAL && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ITAL && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ITAL && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ITAL && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ITAL && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == NORV && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == NORV && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == NORV && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == NORV && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == NORV && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == NORV && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == NORV && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == NORV && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == PORT && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == PORT && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == PORT && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == PORT && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == PORT && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == PORT && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == PORT && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == PORT && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == SUED && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == SUED && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == SUED && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == SUED && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == SUED && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == SUED && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == SUED && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == SUED && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == SUIS && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == SUIS && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == SUIS && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == SUIS && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == SUIS && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == SUIS && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == SUIS && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == SUIS && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == YOUG && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == YOUG && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == YOUG && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == YOUG && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == YOUG && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == YOUG && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == YOUG && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == YOUG && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == MAGH && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == MAGH && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == MAGH && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == MAGH && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == MAGH && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == MAGH && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == MAGH && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == MAGH && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == TURQ && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == TURQ && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == TURQ && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == TURQ && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == TURQ && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == TURQ && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == TURQ && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == TURQ && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ARGE && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ARGE && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ARGE && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ARGE && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ARGE && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ARGE && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ARGE && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ARGE && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == BRES && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == BRES && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == BRES && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == BRES && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == BRES && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == BRES && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == BRES && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == BRES && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == CHIL && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == CHIL && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == CHIL && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == CHIL && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == CHIL && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == CHIL && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == CHIL && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == CHIL && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == COLO && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == COLO && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == COLO && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == COLO && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == COLO && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == COLO && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == COLO && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == COLO && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == AFSU && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == AFSU && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == AFSU && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == AFSU && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == AFSU && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == AFSU && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == AFSU && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == AFSU && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == MARO && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == MARO && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == MARO && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == MARO && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == MARO && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == MARO && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == MARO && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == MARO && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ISRA && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ISRA && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ISRA && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ISRA && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ISRA && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ISRA && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ISRA && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ISRA && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == HONG && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == HONG && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == HONG && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == HONG && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == HONG && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == HONG && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == HONG && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == HONG && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == TCHE && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == TCHE && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == TCHE && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) ) || ( ( var1 == D64 && var2 == E2 && var5 == TCHE && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == TCHE && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == TCHE && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == TCHE && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == TCHE && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == POLO && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == POLO && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == POLO && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == POLO && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == POLO && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == POLO && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == POLO && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == POLO && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == SLVQ && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == SLVQ && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == SLVQ && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == SLVQ && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == SLVQ && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == SLVQ && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == SLVQ && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == SLVQ && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == JAPO && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == JAPO && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == JAPO && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == JAPO && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == JAPO && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == JAPO && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == JAPO && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == JAPO && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == TAIW && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == TAIW && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == TAIW && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == TAIW && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == TAIW && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == TAIW && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == TAIW && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == TAIW && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == AUST && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == AUST && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == AUST && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == AUST && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == AUST && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == AUST && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == AUST && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == AUST && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == URUG && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == URUG && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == URUG && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == URUG && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == URUG && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == URUG && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == URUG && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == URUG && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DAIB && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DAIB && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DAIB && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DAIB && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DAIB && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DAIB && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DAIB && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DAIB && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DAIC && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DAIC && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DAIC && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DAIC && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DAIC && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DAIC && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DAIC && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DAIC && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DAID && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DAID && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DAID && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DAID && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DAID && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DAID && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DAID && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DAID && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DAIF && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DAIF && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DAIF && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DAIF && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DAIF && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DAIF && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DAIF && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DAIF && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == EUOR && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == EUOR && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == EUOR && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == EUOR && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == EUOR && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == EUOR && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == EUOR && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == EUOR && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == CETI && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == CETI && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == CETI && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == CETI && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == CETI && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == CETI && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == CETI && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == CETI && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == FRAN && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == FRAN && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == FRAN && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == FRAN && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == FRAN && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == FRAN && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DOTO && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DOTO && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DOTO && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DOTO && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DOTO && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DOTO && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ALLE && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ALLE && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ALLE && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ALLE && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ALLE && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ALLE && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == AUTR && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == AUTR && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == AUTR && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == AUTR && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == AUTR && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == AUTR && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == BELG && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == BELG && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == BELG && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == BELG && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == BELG && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == BELG && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DANE && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DANE && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DANE && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DANE && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DANE && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DANE && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ESPA && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ESPA && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ESPA && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ESPA && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ESPA && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ESPA && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == FINL && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == FINL && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == FINL && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == FINL && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == FINL && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == FINL && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == GRBR && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == GRBR && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == GRBR && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == GRBR && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == GRBR && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == GRBR && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == GREC && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == GREC && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == GREC && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == GREC && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == GREC && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == GREC && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == HOLL && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == HOLL && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == HOLL && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == HOLL && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == HOLL && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == HOLL && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == IRLA && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == IRLA && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == IRLA && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == IRLA && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == IRLA && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == IRLA && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ISLA && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ISLA && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ISLA && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ISLA && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ISLA && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ISLA && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ITAL && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ITAL && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ITAL && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ITAL && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ITAL && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ITAL && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == NORV && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == NORV && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == NORV && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == NORV && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == NORV && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == NORV && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == PORT && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == PORT && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == PORT && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == PORT && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == PORT && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == PORT && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == SUED && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == SUED && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == SUED && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == SUED && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == SUED && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == SUED && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == SUIS && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == SUIS && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == SUIS && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == SUIS && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == SUIS && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == SUIS && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == YOUG && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == YOUG && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == YOUG && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == YOUG && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == YOUG && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == YOUG && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == MAGH && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == MAGH && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == MAGH && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == MAGH && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == MAGH && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == MAGH && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == TURQ && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == TURQ && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == TURQ && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == TURQ && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == TURQ && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == TURQ && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ARGE && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ARGE && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ARGE && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ARGE && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ARGE && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ARGE && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == BRES && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == BRES && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == BRES && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == BRES && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == BRES && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == BRES && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == CHIL && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == CHIL && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == CHIL && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == CHIL && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == CHIL && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == CHIL && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == COLO && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == COLO && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == COLO && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == COLO && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == COLO && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == COLO && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == AFSU && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == AFSU && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == AFSU && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == AFSU && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == AFSU && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == AFSU && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == MARO && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == MARO && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == MARO && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == MARO && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == MARO && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == MARO && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ISRA && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ISRA && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ISRA && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ISRA && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ISRA && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ISRA && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == HONG && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == HONG && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == HONG && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == HONG && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == HONG && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == HONG && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == TCHE && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == TCHE && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == TCHE && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == TCHE && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == TCHE && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == TCHE && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == POLO && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == POLO && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == POLO && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == POLO && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == POLO && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == POLO && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == SLVQ && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == SLVQ && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == SLVQ && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == SLVQ && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == SLVQ && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == SLVQ && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == JAPO && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == JAPO && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == JAPO && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == JAPO && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == JAPO && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == JAPO && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == TAIW && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == TAIW && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == TAIW && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == TAIW && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == TAIW && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == TAIW && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == AUST && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == AUST && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == AUST && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == AUST && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == AUST && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == AUST && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == URUG && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == URUG && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == URUG && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == URUG && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == URUG && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == URUG && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DAIB && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DAIB && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DAIB && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DAIB && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DAIB && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DAIB && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DAIC && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DAIC && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DAIC && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DAIC && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DAIC && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DAIC && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DAID && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DAID && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DAID && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DAID && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DAID && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DAID && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DAIF && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DAIF && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DAIF && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DAIF && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DAIF && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DAIF && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == EUOR && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == EUOR && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == EUOR && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == EUOR && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == EUOR && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == EUOR && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == CETI && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == CETI && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == CETI && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == CETI && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == CETI && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == CETI && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FRAN && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FRAN && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FRAN && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FRAN && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FRAN && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FRAN && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FRAN && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FRAN && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FRAN && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FRAN && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FRAN && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FRAN && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FRAN && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FRAN && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FRAN && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FRAN && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FRAN && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FRAN && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FRAN && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FRAN && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DOTO && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DOTO && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DOTO && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DOTO && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DOTO && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DOTO && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DOTO && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DOTO && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DOTO && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DOTO && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DOTO && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DOTO && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DOTO && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DOTO && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DOTO && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DOTO && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DOTO && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DOTO && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DOTO && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DOTO && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ALLE && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ALLE && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ALLE && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ALLE && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ALLE && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ALLE && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ALLE && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ALLE && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ALLE && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ALLE && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ALLE && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ALLE && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ALLE && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ALLE && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ALLE && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ALLE && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ALLE && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ALLE && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ALLE && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ALLE && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AUTR && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AUTR && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AUTR && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AUTR && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AUTR && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AUTR && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AUTR && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AUTR && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AUTR && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AUTR && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AUTR && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AUTR && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AUTR && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AUTR && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AUTR && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AUTR && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AUTR && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AUTR && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AUTR && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AUTR && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == BELG && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == BELG && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == BELG && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == BELG && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == BELG && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == BELG && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == BELG && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == BELG && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == BELG && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == BELG && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == BELG && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == BELG && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == BELG && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == BELG && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == BELG && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == BELG && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == BELG && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == BELG && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == BELG && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == BELG && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DANE && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DANE && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DANE && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DANE && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DANE && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DANE && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DANE && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DANE && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DANE && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DANE && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DANE && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DANE && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DANE && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DANE && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DANE && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DANE && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DANE && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DANE && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DANE && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DANE && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ESPA && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ESPA && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ESPA && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ESPA && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ESPA && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ESPA && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ESPA && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ESPA && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ESPA && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ESPA && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ESPA && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ESPA && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ESPA && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ESPA && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ESPA && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ESPA && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ESPA && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ESPA && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ESPA && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ESPA && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FINL && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FINL && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FINL && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FINL && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FINL && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FINL && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FINL && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FINL && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FINL && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FINL && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FINL && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FINL && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FINL && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FINL && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FINL && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FINL && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FINL && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FINL && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FINL && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FINL && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == GRBR && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == GRBR && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == GRBR && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == GRBR && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == GRBR && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == GRBR && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == GRBR && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == GRBR && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == GRBR && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == GRBR && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == GRBR && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == GRBR && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == GRBR && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == GRBR && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == GRBR && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == GRBR && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == GRBR && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == GRBR && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == GRBR && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == GRBR && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == GREC && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == GREC && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == GREC && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == GREC && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == GREC && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == GREC && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == GREC && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == GREC && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == GREC && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == GREC && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == GREC && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == GREC && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == GREC && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == GREC && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == GREC && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == GREC && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == GREC && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == GREC && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == GREC && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == GREC && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == HOLL && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == HOLL && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == HOLL && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == HOLL && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == HOLL && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == HOLL && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == HOLL && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == HOLL && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == HOLL && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == HOLL && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == HOLL && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == HOLL && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == HOLL && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == HOLL && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == HOLL && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == HOLL && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == HOLL && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == HOLL && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == HOLL && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == HOLL && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == IRLA && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == IRLA && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == IRLA && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == IRLA && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == IRLA && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == IRLA && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == IRLA && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == IRLA && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == IRLA && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == IRLA && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == IRLA && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == IRLA && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == IRLA && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == IRLA && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == IRLA && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == IRLA && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == IRLA && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == IRLA && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == IRLA && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == IRLA && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ISLA && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ISLA && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ISLA && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ISLA && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ISLA && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ISLA && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ISLA && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ISLA && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ISLA && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ISLA && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ISLA && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ISLA && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ISLA && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ISLA && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ISLA && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ISLA && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ISLA && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ISLA && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ISLA && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ISLA && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ITAL && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ITAL && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ITAL && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ITAL && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ITAL && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ITAL && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ITAL && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ITAL && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ITAL && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ITAL && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ITAL && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ITAL && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ITAL && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ITAL && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ITAL && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ITAL && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ITAL && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ITAL && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ITAL && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ITAL && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == NORV && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == NORV && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == NORV && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == NORV && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == NORV && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == NORV && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == NORV && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == NORV && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == NORV && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == NORV && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == NORV && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == NORV && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == NORV && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == NORV && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == NORV && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == NORV && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == NORV && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == NORV && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == NORV && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == NORV && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == PORT && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == PORT && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == PORT && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == PORT && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == PORT && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == PORT && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == PORT && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == PORT && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == PORT && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == PORT && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == PORT && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == PORT && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == PORT && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == PORT && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == PORT && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == PORT && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == PORT && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == PORT && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == PORT && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == PORT && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SUED && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SUED && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SUED && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SUED && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SUED && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SUED && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SUED && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SUED && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SUED && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SUED && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SUED && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SUED && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SUED && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SUED && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SUED && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SUED && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SUED && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SUED && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SUED && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SUED && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SUIS && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SUIS && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SUIS && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SUIS && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SUIS && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SUIS && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SUIS && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SUIS && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SUIS && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SUIS && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SUIS && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SUIS && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SUIS && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SUIS && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SUIS && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SUIS && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SUIS && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SUIS && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SUIS && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SUIS && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == YOUG && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == YOUG && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == YOUG && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == YOUG && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == YOUG && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == YOUG && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == YOUG && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == YOUG && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == YOUG && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == YOUG && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == YOUG && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == YOUG && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == YOUG && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == YOUG && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == YOUG && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == YOUG && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == YOUG && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == YOUG && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == YOUG && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == YOUG && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == MAGH && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == MAGH && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == MAGH && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == MAGH && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == MAGH && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == MAGH && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == MAGH && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == MAGH && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == MAGH && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == MAGH && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == MAGH && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == MAGH && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == MAGH && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == MAGH && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == MAGH && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == MAGH && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == MAGH && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == MAGH && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == MAGH && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == MAGH && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TURQ && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TURQ && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TURQ && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TURQ && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TURQ && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TURQ && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TURQ && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TURQ && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TURQ && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TURQ && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TURQ && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TURQ && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TURQ && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TURQ && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TURQ && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TURQ && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TURQ && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TURQ && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TURQ && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TURQ && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ARGE && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ARGE && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ARGE && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ARGE && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ARGE && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ARGE && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ARGE && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ARGE && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ARGE && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ARGE && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ARGE && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ARGE && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ARGE && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ARGE && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ARGE && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ARGE && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ARGE && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ARGE && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ARGE && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ARGE && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == BRES && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == BRES && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == BRES && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == BRES && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == BRES && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == BRES && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == BRES && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == BRES && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == BRES && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == BRES && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == BRES && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == BRES && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == BRES && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == BRES && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == BRES && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == BRES && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == BRES && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == BRES && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == BRES && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == BRES && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == CHIL && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == CHIL && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == CHIL && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == CHIL && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == CHIL && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == CHIL && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == CHIL && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == CHIL && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == CHIL && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == CHIL && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == CHIL && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == CHIL && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == CHIL && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == CHIL && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == CHIL && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == CHIL && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == CHIL && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == CHIL && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == CHIL && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == CHIL && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == COLO && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == COLO && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == COLO && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == COLO && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == COLO && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == COLO && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == COLO && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == COLO && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == COLO && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == COLO && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == COLO && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == COLO && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == COLO && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == COLO && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == COLO && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == COLO && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == COLO && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == COLO && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == COLO && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == COLO && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AFSU && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AFSU && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AFSU && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AFSU && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AFSU && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AFSU && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AFSU && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AFSU && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AFSU && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AFSU && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AFSU && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AFSU && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AFSU && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AFSU && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AFSU && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AFSU && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AFSU && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AFSU && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AFSU && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AFSU && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == MARO && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == MARO && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == MARO && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == MARO && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == MARO && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == MARO && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == MARO && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == MARO && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == MARO && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == MARO && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == MARO && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == MARO && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == MARO && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == MARO && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == MARO && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == MARO && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == MARO && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == MARO && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == MARO && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == MARO && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ISRA && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ISRA && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ISRA && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ISRA && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ISRA && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ISRA && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ISRA && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ISRA && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ISRA && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ISRA && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ISRA && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ISRA && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ISRA && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ISRA && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ISRA && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ISRA && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ISRA && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ISRA && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ISRA && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ISRA && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == HONG && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == HONG && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == HONG && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == HONG && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == HONG && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == HONG && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == HONG && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == HONG && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == HONG && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == HONG && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == HONG && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == HONG && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == HONG && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == HONG && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == HONG && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == HONG && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == HONG && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == HONG && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == HONG && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == HONG && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TCHE && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TCHE && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TCHE && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TCHE && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TCHE && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TCHE && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TCHE && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TCHE && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TCHE && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TCHE && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TCHE && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TCHE && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TCHE && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TCHE && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TCHE && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TCHE && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TCHE && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TCHE && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TCHE && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TCHE && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == POLO && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == POLO && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == POLO && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == POLO && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == POLO && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == POLO && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == POLO && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == POLO && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == POLO && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == POLO && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == POLO && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == POLO && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == POLO && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == POLO && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == POLO && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == POLO && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == POLO && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == POLO && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == POLO && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == POLO && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SLVQ && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SLVQ && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SLVQ && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SLVQ && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SLVQ && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SLVQ && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SLVQ && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SLVQ && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SLVQ && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SLVQ && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SLVQ && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SLVQ && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SLVQ && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SLVQ && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SLVQ && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SLVQ && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SLVQ && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SLVQ && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SLVQ && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SLVQ && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == JAPO && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == JAPO && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == JAPO && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == JAPO && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == JAPO && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == JAPO && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == JAPO && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == JAPO && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == JAPO && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == JAPO && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == JAPO && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == JAPO && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == JAPO && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == JAPO && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == JAPO && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == JAPO && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == JAPO && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == JAPO && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == JAPO && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == JAPO && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TAIW && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TAIW && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TAIW && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TAIW && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TAIW && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TAIW && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TAIW && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TAIW && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TAIW && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TAIW && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TAIW && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TAIW && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TAIW && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TAIW && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TAIW && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TAIW && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TAIW && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TAIW && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TAIW && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TAIW && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AUST && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AUST && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AUST && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AUST && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AUST && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AUST && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AUST && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AUST && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AUST && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AUST && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AUST && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AUST && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AUST && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AUST && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AUST && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AUST && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AUST && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AUST && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AUST && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AUST && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == URUG && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == URUG && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == URUG && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == URUG && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == URUG && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == URUG && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == URUG && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == URUG && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == URUG && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == URUG && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == URUG && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == URUG && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == URUG && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == URUG && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == URUG && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == URUG && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == URUG && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == URUG && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == URUG && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == URUG && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIB && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIB && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIB && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIB && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIB && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIB && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIB && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIB && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIB && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIB && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIB && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIB && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIB && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIB && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIB && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIB && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIB && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIB && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIB && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIB && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIC && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIC && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIC && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIC && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIC && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIC && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIC && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIC && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIC && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIC && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIC && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIC && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIC && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIC && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIC && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIC && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIC && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIC && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIC && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIC && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAID && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAID && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAID && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAID && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAID && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAID && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAID && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAID && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAID && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAID && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAID && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAID && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAID && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAID && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAID && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAID && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAID && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAID && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAID && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAID && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIF && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIF && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIF && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIF && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIF && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIF && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIF && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIF && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIF && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIF && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIF && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIF && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIF && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIF && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIF && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIF && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIF && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIF && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIF && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIF && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == EUOR && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == EUOR && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == EUOR && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == EUOR && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == EUOR && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == EUOR && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == EUOR && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == EUOR && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == EUOR && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == EUOR && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == EUOR && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == EUOR && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == EUOR && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == EUOR && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == EUOR && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == EUOR && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == EUOR && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == EUOR && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == EUOR && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == EUOR && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == CETI && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == CETI && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == CETI && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == CETI && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == CETI && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == CETI && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == CETI && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == CETI && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == CETI && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == CETI && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == CETI && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == CETI && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == CETI && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == CETI && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == CETI && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == CETI && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == CETI && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == CETI && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == CETI && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == CETI && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == FRAN && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == FRAN && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == FRAN && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == FRAN && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DOTO && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DOTO && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DOTO && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DOTO && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ALLE && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ALLE && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ALLE && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ALLE && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == AUTR && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == AUTR && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == AUTR && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == AUTR && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == BELG && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == BELG && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == BELG && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == BELG && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DANE && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DANE && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DANE && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DANE && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ESPA && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ESPA && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ESPA && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ESPA && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == FINL && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == FINL && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == FINL && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == FINL && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == GRBR && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == GRBR && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == GRBR && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == GRBR && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == GREC && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == GREC && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == GREC && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == GREC && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == HOLL && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == HOLL && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == HOLL && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == HOLL && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == IRLA && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == IRLA && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == IRLA && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == IRLA && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ISLA && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ISLA && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ISLA && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ISLA && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ITAL && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ITAL && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ITAL && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ITAL && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == NORV && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == NORV && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == NORV && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == NORV && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == PORT && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == PORT && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == PORT && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == PORT && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == SUED && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == SUED && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == SUED && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == SUED && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == SUIS && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == SUIS && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == SUIS && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == SUIS && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == YOUG && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == YOUG && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == YOUG && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == YOUG && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == MAGH && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == MAGH && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == MAGH && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == MAGH && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == TURQ && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == TURQ && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == TURQ && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == TURQ && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ARGE && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ARGE && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ARGE && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ARGE && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == BRES && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == BRES && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == BRES && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == BRES && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == CHIL && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == CHIL && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == CHIL && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == CHIL && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == COLO && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == COLO && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == COLO && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == COLO && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == AFSU && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == AFSU && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == AFSU && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == AFSU && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == MARO && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == MARO && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == MARO && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == MARO && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ISRA && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ISRA && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ISRA && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ISRA && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == HONG && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == HONG && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == HONG && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == HONG && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == TCHE && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == TCHE && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == TCHE && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == TCHE && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == POLO && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == POLO && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == POLO && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == POLO && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == SLVQ && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == SLVQ && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == SLVQ && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == SLVQ && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == JAPO && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == JAPO && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == JAPO && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == JAPO && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == TAIW && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == TAIW && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == TAIW && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == TAIW && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == AUST && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == AUST && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == AUST && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == AUST && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == URUG && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == URUG && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == URUG && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == URUG && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DAIB && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DAIB && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DAIB && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DAIB && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DAIC && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DAIC && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DAIC && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DAIC && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DAID && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DAID && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DAID && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DAID && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DAIF && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DAIF && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DAIF && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DAIF && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == EUOR && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == EUOR && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == EUOR && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == EUOR && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == CETI && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == CETI && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == CETI && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == CETI && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == FRAN && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == FRAN && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == FRAN && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == FRAN && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == FRAN && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == FRAN && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == FRAN && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == FRAN && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == FRAN && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == FRAN && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == FRAN && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DOTO && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DOTO && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DOTO && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DOTO && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DOTO && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DOTO && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DOTO && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DOTO && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DOTO && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DOTO && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DOTO && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ALLE && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ALLE && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ALLE && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ALLE && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ALLE && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ALLE && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ALLE && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ALLE && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ALLE && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ALLE && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ALLE && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == AUTR && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == AUTR && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == AUTR && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == AUTR && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == AUTR && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == AUTR && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == AUTR && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == AUTR && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == AUTR && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == AUTR && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == AUTR && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == BELG && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == BELG && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == BELG && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == BELG && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == BELG && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == BELG && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == BELG && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == BELG && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == BELG && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == BELG && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == BELG && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DANE && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DANE && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DANE && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DANE && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DANE && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DANE && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DANE && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DANE && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DANE && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DANE && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ESPA && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ESPA && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ESPA && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ESPA && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ESPA && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ESPA && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ESPA && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ESPA && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ESPA && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ESPA && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ESPA && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == FINL && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == FINL && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == FINL && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == FINL && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == FINL && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == FINL && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == FINL && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == FINL && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == FINL && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == FINL && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == GRBR && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == GRBR && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == GRBR && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == GRBR && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == GRBR && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == GRBR && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == GRBR && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == GRBR && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == GRBR && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == GRBR && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == GRBR && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == GREC && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == GREC && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == GREC && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == GREC && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == GREC && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == GREC && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == GREC && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == GREC && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == GREC && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == GREC && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == GREC && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == HOLL && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == HOLL && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == HOLL && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == HOLL && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == HOLL && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == HOLL && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == HOLL && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == HOLL && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == HOLL && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == HOLL && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == HOLL && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == IRLA && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == IRLA && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == IRLA && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == IRLA && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == IRLA && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == IRLA && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == IRLA && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == IRLA && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == IRLA && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == IRLA && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == IRLA && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ISLA && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ISLA && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ISLA && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ISLA && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ISLA && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ISLA && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ISLA && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ISLA && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ISLA && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ISLA && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ISLA && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ITAL && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ITAL && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ITAL && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ITAL && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ITAL && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ITAL && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ITAL && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ITAL && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ITAL && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ITAL && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ITAL && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == NORV && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == NORV && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == NORV && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == NORV && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == NORV && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == NORV && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == NORV && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == NORV && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == NORV && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == NORV && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == PORT && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == PORT && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == PORT && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == PORT && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == PORT && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == PORT && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == PORT && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == PORT && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == PORT && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == PORT && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == PORT && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == SUED && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == SUED && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == SUED && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == SUED && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == SUED && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == SUED && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == SUED && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == SUED && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == SUED && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == SUED && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == SUIS && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == SUIS && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == SUIS && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == SUIS && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == SUIS && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == SUIS && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == SUIS && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == SUIS && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == SUIS && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == SUIS && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == SUIS && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == YOUG && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == YOUG && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == YOUG && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == YOUG && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == YOUG && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == YOUG && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == YOUG && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == YOUG && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == YOUG && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == YOUG && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == YOUG && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == MAGH && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == MAGH && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == MAGH && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == MAGH && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == MAGH && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == MAGH && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == MAGH && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == MAGH && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == MAGH && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == MAGH && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == MAGH && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == TURQ && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == TURQ && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == TURQ && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == TURQ && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == TURQ && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == TURQ && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == TURQ && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == TURQ && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == TURQ && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == TURQ && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == TURQ && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ARGE && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ARGE && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ARGE && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ARGE && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ARGE && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ARGE && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ARGE && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ARGE && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ARGE && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ARGE && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ARGE && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == BRES && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == BRES && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == BRES && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == BRES && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == BRES && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == BRES && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == BRES && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == BRES && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == BRES && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == BRES && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == BRES && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == CHIL && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == CHIL && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == CHIL && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == CHIL && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == CHIL && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == CHIL && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == CHIL && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == CHIL && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == CHIL && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == CHIL && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == CHIL && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == COLO && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == COLO && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == COLO && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == COLO && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == COLO && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == COLO && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == COLO && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == COLO && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == COLO && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == COLO && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == COLO && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == AFSU && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == AFSU && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == AFSU && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == AFSU && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == AFSU && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == AFSU && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == AFSU && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == AFSU && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == AFSU && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == AFSU && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == AFSU && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == MARO && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == MARO && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == MARO && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == MARO && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == MARO && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == MARO && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == MARO && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == MARO && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == MARO && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == MARO && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == MARO && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ISRA && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ISRA && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ISRA && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ISRA && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ISRA && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ISRA && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ISRA && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ISRA && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ISRA && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ISRA && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ISRA && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == HONG && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == HONG && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == HONG && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == HONG && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == HONG && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == HONG && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == HONG && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == HONG && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == HONG && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == HONG && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == HONG && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == TCHE && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == TCHE && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == TCHE && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == TCHE && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == TCHE && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == TCHE && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == TCHE && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == TCHE && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == TCHE && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == TCHE && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == TCHE && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == POLO && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == POLO && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == POLO && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == POLO && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == POLO && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == POLO && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == POLO && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == POLO && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == POLO && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == POLO && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == POLO && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == SLVQ && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == SLVQ && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == SLVQ && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == SLVQ && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == SLVQ && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == SLVQ && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == SLVQ && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == SLVQ && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == SLVQ && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == SLVQ && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == SLVQ && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == JAPO && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == JAPO && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == JAPO && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == JAPO && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == JAPO && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == JAPO && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == JAPO && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == JAPO && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == JAPO && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == JAPO && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == JAPO && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == TAIW && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == TAIW && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == TAIW && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == TAIW && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == TAIW && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == TAIW && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == TAIW && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == TAIW && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == TAIW && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == TAIW && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == TAIW && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == AUST && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == AUST && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == AUST && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == AUST && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == AUST && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == AUST && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == AUST && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == AUST && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == AUST && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == AUST && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == AUST && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == URUG && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == URUG && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == URUG && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == URUG && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == URUG && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == URUG && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == URUG && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == URUG && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == URUG && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == URUG && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == URUG && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAIB && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAIB && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAIB && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAIB && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAIB && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAIB && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAIB && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAIB && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAIB && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAIB && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAIB && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAIC && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAIC && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAIC && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAIC && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAIC && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAIC && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAIC && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAIC && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAIC && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAIC && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAIC && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAID && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAID && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAID && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAID && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAID && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAID && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAID && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAID && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAID && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAID && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAID && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAIF && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAIF && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAIF && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAIF && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAIF && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAIF && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAIF && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAIF && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAIF && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAIF && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAIF && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == EUOR && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == EUOR && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == EUOR && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == EUOR && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == EUOR && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == EUOR && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == EUOR && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == EUOR && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == EUOR && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == EUOR && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == EUOR && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == CETI && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == CETI && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == CETI && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == CETI && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == CETI && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == CETI && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == CETI && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == CETI && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == CETI && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == CETI && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == CETI && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == FRAN && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == FRAN && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == FRAN && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == FRAN && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == FRAN && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == FRAN && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == FRAN && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == FRAN && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == FRAN && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == FRAN && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == FRAN && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == FRAN && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == FRAN && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == FRAN && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == FRAN && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == FRAN && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == FRAN && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == FRAN && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == FRAN && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == FRAN && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == FRAN && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == FRAN && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == FRAN && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == FRAN && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DOTO && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DOTO && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DOTO && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == DOTO && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DOTO && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DOTO && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DOTO && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DOTO && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DOTO && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == DOTO && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DOTO && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DOTO && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DOTO && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DOTO && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DOTO && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == DOTO && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DOTO && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DOTO && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DOTO && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DOTO && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DOTO && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == DOTO && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DOTO && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DOTO && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ALLE && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ALLE && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ALLE && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == ALLE && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ALLE && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ALLE && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ALLE && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ALLE && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ALLE && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == ALLE && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ALLE && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ALLE && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ALLE && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ALLE && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ALLE && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == ALLE && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ALLE && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ALLE && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ALLE && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ALLE && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ALLE && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == ALLE && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ALLE && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ALLE && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == AUTR && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == AUTR && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == AUTR && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == AUTR && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == AUTR && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == AUTR && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == AUTR && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == AUTR && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == AUTR && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == AUTR && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == AUTR && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == AUTR && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == AUTR && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == AUTR && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == AUTR && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == AUTR && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == AUTR && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == AUTR && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == AUTR && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == AUTR && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == AUTR && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == AUTR && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == AUTR && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == AUTR && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == BELG && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == BELG && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == BELG && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == BELG && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == BELG && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == BELG && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == BELG && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == BELG && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == BELG && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == BELG && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == BELG && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == BELG && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == BELG && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == BELG && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == BELG && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == BELG && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == BELG && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == BELG && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == BELG && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == BELG && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == BELG && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == BELG && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == BELG && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == BELG && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DANE && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DANE && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DANE && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == DANE && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DANE && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DANE && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DANE && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DANE && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DANE && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == DANE && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DANE && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DANE && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DANE && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DANE && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DANE && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == DANE && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DANE && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DANE && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DANE && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DANE && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DANE && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == DANE && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DANE && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DANE && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ESPA && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ESPA && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ESPA && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == ESPA && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ESPA && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ESPA && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ESPA && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ESPA && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ESPA && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == ESPA && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ESPA && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ESPA && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ESPA && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ESPA && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ESPA && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == ESPA && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ESPA && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ESPA && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ESPA && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ESPA && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ESPA && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == ESPA && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ESPA && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ESPA && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == FINL && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == FINL && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == FINL && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == FINL && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == FINL && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == FINL && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == FINL && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == FINL && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == FINL && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == FINL && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == FINL && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == FINL && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == FINL && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == FINL && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == FINL && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == FINL && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == FINL && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == FINL && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == FINL && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == FINL && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == FINL && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == FINL && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == FINL && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == FINL && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == GRBR && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == GRBR && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == GRBR && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == GRBR && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == GRBR && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == GRBR && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == GRBR && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == GRBR && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == GRBR && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == GRBR && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == GRBR && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == GRBR && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == GRBR && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == GRBR && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == GRBR && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == GRBR && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == GRBR && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == GRBR && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == GRBR && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == GRBR && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == GRBR && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == GRBR && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == GRBR && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == GRBR && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == GREC && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == GREC && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == GREC && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == GREC && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == GREC && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == GREC && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == GREC && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == GREC && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == GREC && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == GREC && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == GREC && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == GREC && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == GREC && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == GREC && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == GREC && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == GREC && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == GREC && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == GREC && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == GREC && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == GREC && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == GREC && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == GREC && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == GREC && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == GREC && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == HOLL && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == HOLL && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == HOLL && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == HOLL && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == HOLL && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == HOLL && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == HOLL && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == HOLL && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == HOLL && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == HOLL && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == HOLL && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == HOLL && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == HOLL && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == HOLL && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == HOLL && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == HOLL && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == HOLL && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == HOLL && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == HOLL && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == HOLL && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == HOLL && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == HOLL && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == HOLL && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == HOLL && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == IRLA && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == IRLA && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == IRLA && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == IRLA && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == IRLA && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == IRLA && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == IRLA && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == IRLA && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == IRLA && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == IRLA && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == IRLA && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == IRLA && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == IRLA && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == IRLA && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == IRLA && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == IRLA && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == IRLA && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == IRLA && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == IRLA && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == IRLA && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == IRLA && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == IRLA && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == IRLA && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == IRLA && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ISLA && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ISLA && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ISLA && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == ISLA && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ISLA && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ISLA && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ISLA && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ISLA && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ISLA && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == ISLA && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ISLA && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ISLA && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ISLA && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ISLA && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ISLA && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == ISLA && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ISLA && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ISLA && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ISLA && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ISLA && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ISLA && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == ISLA && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ISLA && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ISLA && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ITAL && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ITAL && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ITAL && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == ITAL && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ITAL && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ITAL && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ITAL && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ITAL && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ITAL && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == ITAL && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ITAL && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ITAL && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ITAL && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ITAL && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ITAL && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == ITAL && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ITAL && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ITAL && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ITAL && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ITAL && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ITAL && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == ITAL && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ITAL && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ITAL && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == NORV && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == NORV && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == NORV && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == NORV && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == NORV && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == NORV && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == NORV && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == NORV && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == NORV && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == NORV && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == NORV && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == NORV && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == NORV && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == NORV && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == NORV && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == NORV && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == NORV && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == NORV && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == NORV && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == NORV && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == NORV && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == NORV && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == NORV && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == NORV && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == PORT && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == PORT && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == PORT && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == PORT && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == PORT && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == PORT && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == PORT && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == PORT && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == PORT && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == PORT && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == PORT && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == PORT && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == PORT && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == PORT && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == PORT && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == PORT && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == PORT && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == PORT && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == PORT && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == PORT && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == PORT && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == PORT && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == PORT && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == PORT && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == SUED && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == SUED && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == SUED && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == SUED && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == SUED && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == SUED && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == SUED && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == SUED && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == SUED && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == SUED && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == SUED && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == SUED && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == SUED && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == SUED && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == SUED && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == SUED && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == SUED && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == SUED && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == SUED && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == SUED && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == SUED && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == SUED && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == SUED && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == SUED && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == SUIS && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == SUIS && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == SUIS && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == SUIS && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == SUIS && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == SUIS && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == SUIS && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == SUIS && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == SUIS && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == SUIS && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == SUIS && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == SUIS && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == SUIS && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == SUIS && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == SUIS && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == SUIS && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == SUIS && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == SUIS && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == SUIS && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == SUIS && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == SUIS && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == SUIS && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == SUIS && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == SUIS && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == YOUG && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == YOUG && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == YOUG && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == YOUG && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == YOUG && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == YOUG && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == YOUG && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == YOUG && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == YOUG && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == YOUG && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == YOUG && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == YOUG && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == YOUG && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == YOUG && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == YOUG && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == YOUG && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == YOUG && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == YOUG && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == YOUG && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == YOUG && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == YOUG && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == YOUG && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == YOUG && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == YOUG && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == MAGH && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == MAGH && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == MAGH && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == MAGH && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == MAGH && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == MAGH && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == MAGH && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == MAGH && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == MAGH && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == MAGH && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == MAGH && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == MAGH && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == MAGH && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == MAGH && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == MAGH && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == MAGH && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == MAGH && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == MAGH && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == MAGH && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == MAGH && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == MAGH && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == MAGH && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == MAGH && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == MAGH && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == TURQ && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == TURQ && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == TURQ && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == TURQ && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == TURQ && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == TURQ && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == TURQ && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == TURQ && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == TURQ && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == TURQ && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == TURQ && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == TURQ && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == TURQ && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == TURQ && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == TURQ && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == TURQ && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == TURQ && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == TURQ && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == TURQ && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == TURQ && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == TURQ && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == TURQ && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == TURQ && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == TURQ && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ARGE && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ARGE && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ARGE && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == ARGE && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ARGE && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ARGE && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ARGE && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ARGE && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ARGE && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == ARGE && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ARGE && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ARGE && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ARGE && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ARGE && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ARGE && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == ARGE && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ARGE && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ARGE && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ARGE && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ARGE && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ARGE && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == ARGE && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ARGE && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ARGE && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == BRES && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == BRES && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == BRES && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == BRES && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == BRES && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == BRES && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == BRES && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == BRES && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == BRES && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == BRES && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == BRES && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == BRES && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == BRES && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == BRES && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == BRES && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == BRES && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == BRES && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == BRES && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == BRES && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == BRES && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == BRES && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == BRES && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == BRES && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == BRES && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == CHIL && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == CHIL && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == CHIL && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == CHIL && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == CHIL && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == CHIL && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == CHIL && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == CHIL && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == CHIL && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == CHIL && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == CHIL && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == CHIL && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == CHIL && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == CHIL && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == CHIL && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == CHIL && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == CHIL && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == CHIL && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == CHIL && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == CHIL && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == CHIL && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == CHIL && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == CHIL && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == CHIL && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == COLO && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == COLO && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == COLO && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == COLO && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == COLO && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == COLO && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == COLO && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == COLO && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == COLO && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == COLO && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == COLO && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == COLO && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == COLO && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == COLO && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == COLO && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == COLO && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == COLO && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == COLO && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == COLO && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == COLO && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == COLO && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == COLO && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == COLO && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == COLO && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == AFSU && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == AFSU && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == AFSU && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == AFSU && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == AFSU && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == AFSU && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == AFSU && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == AFSU && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == AFSU && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == AFSU && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == AFSU && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == AFSU && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == AFSU && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == AFSU && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == AFSU && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == AFSU && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == AFSU && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == AFSU && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == AFSU && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == AFSU && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == AFSU && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == AFSU && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == AFSU && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == AFSU && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == MARO && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == MARO && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == MARO && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == MARO && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == MARO && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == MARO && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == MARO && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == MARO && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == MARO && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == MARO && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == MARO && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == MARO && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == MARO && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == MARO && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == MARO && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == MARO && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == MARO && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == MARO && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == MARO && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == MARO && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == MARO && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == MARO && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == MARO && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == MARO && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ISRA && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ISRA && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ISRA && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == ISRA && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ISRA && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ISRA && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ISRA && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ISRA && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ISRA && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == ISRA && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ISRA && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ISRA && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ISRA && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ISRA && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ISRA && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == ISRA && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ISRA && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ISRA && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ISRA && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ISRA && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ISRA && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == ISRA && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ISRA && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ISRA && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == HONG && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == HONG && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == HONG && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == HONG && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == HONG && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == HONG && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == HONG && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == HONG && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == HONG && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == HONG && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == HONG && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == HONG && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == HONG && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == HONG && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == HONG && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == HONG && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == HONG && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == HONG && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == HONG && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == HONG && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == HONG && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == HONG && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == HONG && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == HONG && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == TCHE && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == TCHE && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == TCHE && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == TCHE && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == TCHE && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == TCHE && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == TCHE && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == TCHE && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == TCHE && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == TCHE && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == TCHE && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == TCHE && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == TCHE && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == TCHE && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == TCHE && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == TCHE && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == TCHE && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == TCHE && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == TCHE && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == TCHE && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == TCHE && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == TCHE && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == TCHE && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == TCHE && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == POLO && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == POLO && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == POLO && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == POLO && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == POLO && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == POLO && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == POLO && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == POLO && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == POLO && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == POLO && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == POLO && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == POLO && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == POLO && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == POLO && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == POLO && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == POLO && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == POLO && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == POLO && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == POLO && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == POLO && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == POLO && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == POLO && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == POLO && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == POLO && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == SLVQ && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == SLVQ && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == SLVQ && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == SLVQ && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == SLVQ && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == SLVQ && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == SLVQ && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == SLVQ && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == SLVQ && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == SLVQ && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == SLVQ && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == SLVQ && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == SLVQ && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == SLVQ && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == SLVQ && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == SLVQ && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == SLVQ && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == SLVQ && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == SLVQ && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == SLVQ && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == SLVQ && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == SLVQ && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == SLVQ && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == SLVQ && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == JAPO && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == JAPO && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == JAPO && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == JAPO && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == JAPO && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == JAPO && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == JAPO && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == JAPO && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == JAPO && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == JAPO && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == JAPO && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == JAPO && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == JAPO && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == JAPO && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == JAPO && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == JAPO && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == JAPO && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == JAPO && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == JAPO && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == JAPO && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == JAPO && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == JAPO && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == JAPO && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == JAPO && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == TAIW && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == TAIW && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == TAIW && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == TAIW && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == TAIW && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == TAIW && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == TAIW && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == TAIW && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == TAIW && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == TAIW && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == TAIW && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == TAIW && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == TAIW && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == TAIW && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == TAIW && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == TAIW && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == TAIW && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == TAIW && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == TAIW && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == TAIW && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == TAIW && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == TAIW && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == TAIW && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == TAIW && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == AUST && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == AUST && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == AUST && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == AUST && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == AUST && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == AUST && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == AUST && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == AUST && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == AUST && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == AUST && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == AUST && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == AUST && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == AUST && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == AUST && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == AUST && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == AUST && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == AUST && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == AUST && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == AUST && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == AUST && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == AUST && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == AUST && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == AUST && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == AUST && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == URUG && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == URUG && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == URUG && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == URUG && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == URUG && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == URUG && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == URUG && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == URUG && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == URUG && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == URUG && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == URUG && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == URUG && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == URUG && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == URUG && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == URUG && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == URUG && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == URUG && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == URUG && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == URUG && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == URUG && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == URUG && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == URUG && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == URUG && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == URUG && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAIB && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DAIB && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAIB && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == DAIB && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAIB && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DAIB && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAIB && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DAIB && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAIB && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == DAIB && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAIB && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DAIB && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAIB && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DAIB && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAIB && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == DAIB && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAIB && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DAIB && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAIB && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DAIB && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAIB && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == DAIB && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAIB && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DAIB && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAIC && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DAIC && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAIC && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == DAIC && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAIC && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DAIC && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAIC && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DAIC && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAIC && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == DAIC && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAIC && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DAIC && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAIC && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DAIC && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAIC && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == DAIC && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAIC && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DAIC && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAIC && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DAIC && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAIC && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == DAIC && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAIC && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DAIC && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAID && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DAID && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAID && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == DAID && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAID && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DAID && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAID && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DAID && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAID && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == DAID && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAID && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DAID && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAID && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DAID && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAID && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == DAID && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAID && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DAID && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAID && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DAID && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAID && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == DAID && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAID && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DAID && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAIF && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DAIF && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAIF && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == DAIF && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAIF && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DAIF && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAIF && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DAIF && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAIF && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == DAIF && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAIF && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DAIF && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAIF && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DAIF && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAIF && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == DAIF && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAIF && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DAIF && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAIF && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DAIF && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAIF && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == DAIF && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAIF && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DAIF && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == EUOR && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == EUOR && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == EUOR && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == EUOR && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == EUOR && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == EUOR && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == EUOR && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == EUOR && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == EUOR && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == EUOR && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == EUOR && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == EUOR && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == EUOR && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == EUOR && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == EUOR && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == EUOR && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == EUOR && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == EUOR && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == EUOR && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == EUOR && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == EUOR && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == EUOR && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == EUOR && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == EUOR && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == CETI && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == CETI && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == CETI && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == CETI && var6 == DG && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == CETI && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == CETI && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == CETI && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == CETI && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == CETI && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == CETI && var6 == DG && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == CETI && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == CETI && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == CETI && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == CETI && var6 == DD && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == CETI && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == CETI && var6 == DD && var47 == LVAVMA && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == CETI && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == CETI && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == CETI && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == CETI && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == CETI && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == CETI && var6 == DD && var47 == LVAVEL && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == CETI && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == CETI && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == FRAN && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == FRAN && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == FRAN && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == FRAN && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == DOTO && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == DOTO && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == DOTO && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == DOTO && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == ALLE && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == ALLE && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == ALLE && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == ALLE && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == AUTR && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == AUTR && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == AUTR && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == AUTR && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == BELG && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == BELG && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == BELG && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == BELG && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == DANE && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == DANE && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == DANE && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == DANE && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == ESPA && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == ESPA && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == ESPA && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == ESPA && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == FINL && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == FINL && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == FINL && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == FINL && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == GRBR && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == GRBR && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == GRBR && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == GRBR && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == GREC && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == GREC && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == GREC && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == GREC && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == HOLL && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == HOLL && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == HOLL && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == HOLL && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == IRLA && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == IRLA && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == IRLA && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == IRLA && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == ISLA && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == ISLA && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == ISLA && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == ISLA && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == ITAL && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == ITAL && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == ITAL && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == ITAL && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == NORV && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == NORV && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == NORV && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == NORV && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == PORT && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == PORT && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == PORT && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == PORT && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == SUED && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == SUED && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == SUED && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == SUED && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == SUIS && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == SUIS && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == SUIS && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == SUIS && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == YOUG && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == YOUG && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == YOUG && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == YOUG && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == MAGH && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == MAGH && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == MAGH && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == MAGH && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == TURQ && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == TURQ && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == TURQ && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == TURQ && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == ARGE && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == ARGE && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == ARGE && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == ARGE && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == BRES && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == BRES && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == BRES && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == BRES && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == CHIL && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == CHIL && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == CHIL && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == CHIL && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == COLO && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == COLO && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == COLO && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == COLO && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == AFSU && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == AFSU && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == AFSU && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == AFSU && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == MARO && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == MARO && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == MARO && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == MARO && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == ISRA && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == ISRA && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == ISRA && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == ISRA && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == HONG && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == HONG && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == HONG && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == HONG && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == TCHE && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == TCHE && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == TCHE && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == TCHE && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == POLO && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == POLO && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == POLO && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == POLO && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == SLVQ && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == SLVQ && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == SLVQ && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == SLVQ && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == JAPO && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == JAPO && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == JAPO && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == JAPO && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == TAIW && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == TAIW && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == TAIW && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == TAIW && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == AUST && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == AUST && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == AUST && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == AUST && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == URUG && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == URUG && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == URUG && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == URUG && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == DAIB && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == DAIB && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == DAIB && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == DAIB && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == DAIC && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == DAIC && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == DAIC && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == DAIC && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == DAID && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == DAID && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == DAID && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == DAID && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == DAIF && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == DAIF && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == DAIF && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == DAIF && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == EUOR && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == EUOR && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == EUOR && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == EUOR && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == CETI && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == CETI && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == CETI && var6 == DD && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == CETI && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == FRAN && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == FRAN && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == DOTO && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == DOTO && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) ) || ( ( var1 == B64 && var2 == E5 && var5 == ALLE && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == ALLE && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == AUTR && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == AUTR && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == BELG && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == BELG && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == DANE && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == DANE && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == ESPA && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == ESPA && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == FINL && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == FINL && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == GRBR && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == GRBR && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == GREC && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == GREC && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == HOLL && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == HOLL && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == IRLA && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == IRLA && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == ISLA && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == ISLA && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == ITAL && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == ITAL && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == NORV && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == NORV && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == PORT && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == PORT && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == SUED && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == SUED && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == SUIS && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == SUIS && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == YOUG && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == YOUG && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == MAGH && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == MAGH && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == TURQ && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == TURQ && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == ARGE && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == ARGE && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == BRES && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == BRES && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == CHIL && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == CHIL && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == COLO && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == COLO && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == AFSU && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == AFSU && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == MARO && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == MARO && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == ISRA && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == ISRA && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == HONG && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == HONG && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == TCHE && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == TCHE && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == POLO && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == POLO && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == SLVQ && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == SLVQ && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == JAPO && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == JAPO && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == TAIW && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == TAIW && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == AUST && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == AUST && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == URUG && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == URUG && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == DAIB && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == DAIB && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == DAIC && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == DAIC && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == DAID && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == DAID && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == DAIF && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == DAIF && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == EUOR && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == EUOR && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == CETI && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == CETI && var6 == DD && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == FRAN && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == FRAN && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == FRAN && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == FRAN && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == DOTO && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == DOTO && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == DOTO && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == DOTO && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == ALLE && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == ALLE && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == ALLE && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == ALLE && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == AUTR && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == AUTR && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == AUTR && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == AUTR && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == BELG && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == BELG && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == BELG && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == BELG && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == DANE && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == DANE && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == DANE && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == DANE && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == ESPA && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == ESPA && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == ESPA && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == ESPA && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == FINL && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == FINL && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == FINL && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == FINL && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == GRBR && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == GRBR && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == GRBR && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == GRBR && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == GREC && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == GREC && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == GREC && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == GREC && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == HOLL && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == HOLL && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == HOLL && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == HOLL && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == IRLA && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == IRLA && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == IRLA && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == IRLA && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == ISLA && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == ISLA && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == ISLA && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == ISLA && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == ITAL && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == ITAL && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == ITAL && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == ITAL && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == NORV && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == NORV && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == NORV && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == NORV && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == PORT && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == PORT && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == PORT && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == PORT && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == SUED && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == SUED && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == SUED && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == SUED && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == SUIS && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == SUIS && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == SUIS && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == SUIS && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == YOUG && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == YOUG && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == YOUG && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == YOUG && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == MAGH && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == MAGH && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == MAGH && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == MAGH && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == TURQ && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == TURQ && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == TURQ && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == TURQ && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == ARGE && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == ARGE && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == ARGE && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == ARGE && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == BRES && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == BRES && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == BRES && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == BRES && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == CHIL && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == CHIL && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == CHIL && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == CHIL && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == COLO && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == COLO && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == COLO && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == COLO && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == AFSU && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == AFSU && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == AFSU && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == AFSU && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == MARO && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == MARO && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == MARO && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == MARO && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == ISRA && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == ISRA && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == ISRA && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == ISRA && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == HONG && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == HONG && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == HONG && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == HONG && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == TCHE && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == TCHE && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == TCHE && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == TCHE && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == POLO && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == POLO && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == POLO && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == POLO && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == SLVQ && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == SLVQ && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == SLVQ && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == SLVQ && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == JAPO && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == JAPO && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == JAPO && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == JAPO && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == TAIW && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == TAIW && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == TAIW && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == TAIW && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == AUST && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == AUST && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == AUST && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == AUST && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == URUG && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == URUG && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == URUG && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == URUG && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == DAIB && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == DAIB && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == DAIB && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == DAIB && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == DAIC && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == DAIC && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == DAIC && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == DAIC && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == DAID && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == DAID && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == DAID && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == DAID && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == DAIF && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == DAIF && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == DAIF && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == DAIF && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == EUOR && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == EUOR && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == EUOR && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == EUOR && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == CETI && var6 == DG && var47 == LVAVMA && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == CETI && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == CETI && var6 == DG && var47 == LVAVEL && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == CETI && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == FRAN && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == FRAN && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == DOTO && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == DOTO && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == ALLE && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == ALLE && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == AUTR && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == AUTR && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == BELG && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == BELG && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == DANE && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == DANE && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == ESPA && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == ESPA && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == FINL && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == FINL && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == GRBR && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == GRBR && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == GREC && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == GREC && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == HOLL && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == HOLL && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == IRLA && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == IRLA && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == ISLA && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == ISLA && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == ITAL && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == ITAL && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == NORV && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == NORV && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == PORT && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == PORT && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == SUED && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == SUED && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == SUIS && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == SUIS && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == YOUG && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == YOUG && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == MAGH && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == MAGH && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == TURQ && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == TURQ && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == ARGE && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == ARGE && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == BRES && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == BRES && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == CHIL && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == CHIL && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == COLO && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == COLO && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == AFSU && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == AFSU && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == MARO && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == MARO && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == ISRA && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == ISRA && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == HONG && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == HONG && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == TCHE && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == TCHE && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == POLO && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == POLO && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == SLVQ && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == SLVQ && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == JAPO && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == JAPO && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == TAIW && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == TAIW && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == AUST && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == AUST && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == URUG && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == URUG && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == DAIB && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == DAIB && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == DAIC && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == DAIC && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == DAID && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == DAID && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == DAIF && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == DAIF && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == EUOR && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == EUOR && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == CETI && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == CETI && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == FRAN && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == FRAN && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == DOTO && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == DOTO && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == ALLE && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == ALLE && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == AUTR && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == AUTR && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == BELG && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == BELG && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == DANE && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == DANE && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == ESPA && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == ESPA && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == FINL && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == FINL && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == GRBR && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == GRBR && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == GREC && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == GREC && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == HOLL && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == HOLL && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == IRLA && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == IRLA && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == ISLA && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == ISLA && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == ITAL && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == ITAL && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == NORV && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == NORV && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == PORT && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == PORT && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == SUED && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == SUED && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == SUIS && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == SUIS && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == YOUG && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == YOUG && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == MAGH && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == MAGH && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == TURQ && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == TURQ && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == ARGE && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == ARGE && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == BRES && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == BRES && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == CHIL && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == CHIL && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == COLO && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == COLO && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == AFSU && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == AFSU && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == MARO && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == MARO && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == ISRA && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == ISRA && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == HONG && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == HONG && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == TCHE && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == TCHE && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == POLO && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == POLO && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == SLVQ && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == SLVQ && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == JAPO && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == JAPO && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == TAIW && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == TAIW && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == AUST && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == AUST && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == URUG && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == URUG && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == DAIB && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == DAIB && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == DAIC && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == DAIC && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == DAID && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == DAID && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == DAIF && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == DAIF && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == EUOR && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == EUOR && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == CETI && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == CETI && var6 == DD && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == FRAN && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == FRAN && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == DOTO && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == DOTO && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == ALLE && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == ALLE && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == AUTR && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == AUTR && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == BELG && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == BELG && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == DANE && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == DANE && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == ESPA && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == ESPA && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == FINL && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == FINL && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == GRBR && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == GRBR && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == GREC && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == GREC && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == HOLL && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == HOLL && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == IRLA && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == IRLA && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == ISLA && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == ISLA && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == ITAL && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == ITAL && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == NORV && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == NORV && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == PORT && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == PORT && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == SUED && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == SUED && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == SUIS && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == SUIS && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == YOUG && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == YOUG && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == MAGH && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == MAGH && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == TURQ && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == TURQ && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == ARGE && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == ARGE && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == BRES && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == BRES && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == CHIL && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == CHIL && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == COLO && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == COLO && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == AFSU && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == AFSU && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == MARO && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == MARO && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == ISRA && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == ISRA && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == HONG && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == HONG && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == TCHE && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == TCHE && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == POLO && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == POLO && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == SLVQ && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == SLVQ && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == JAPO && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == JAPO && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == TAIW && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == TAIW && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == AUST && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == AUST && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == URUG && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == URUG && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == DAIB && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == DAIB && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == DAIC && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == DAIC && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == DAID && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == DAID && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == DAIF && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == DAIF && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == EUOR && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == EUOR && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == CETI && var6 == DG && var47 == LVAVMA && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == CETI && var6 == DG && var47 == LVAVEL && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) ) ); ( ( ( var1 == S64 && var2 == E1 && var3 == M9 && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MA && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MA && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MA && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MA && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MA && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MA && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MC && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MC && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MC && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MC && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MC && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MC && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MD && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MD && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MD && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MD && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MD && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MD && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ME && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ME && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ME && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ME && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ME && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ME && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MF && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MF && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MF && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MF && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MK && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MK && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MK && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MK && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MK && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MK && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ML && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ML && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ML && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ML && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ML && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ML && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MM && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MM && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MM && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MM && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MM && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MM && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MS && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MS && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MS && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MS && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MS && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MS && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MT && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MT && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MT && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MT && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MT && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MT && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MU && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MU && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MU && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MU && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MU && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MU && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MN && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MN && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MN && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MN && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MN && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MN && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MH && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MH && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MH && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MH && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MH && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MH && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MY && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MY && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MY && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MY && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MY && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MY && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var6 == DG && var32 == SGMEMO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var6 == DG && var32 == SGMEMO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var6 == DD && var32 == SGMEMO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var6 == DG && var32 == SGMEMO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var6 == DG && var32 == SGMEMO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var6 == DD && var32 == SGMEMO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var6 == DG && var32 == SGMEMO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var6 == DG && var32 == SGMEMO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var6 == DD && var32 == SGMEMO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var6 == DG && var32 == SGMEMO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var6 == DG && var32 == SGMEMO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var6 == DD && var32 == SGMEMO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var6 == DG && var32 == SGMEMO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var6 == DG && var32 == SGMEMO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var6 == DD && var32 == SGMEMO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var6 == DG && var32 == SGMEMO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var6 == DG && var32 == SGMEMO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var6 == DD && var32 == SGMEMO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var6 == DG && var32 == SGMEMO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var6 == DG && var32 == SGMEMO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var6 == DD && var32 == SGMEMO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var6 == DG && var32 == SGMEMO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var6 == DG && var32 == SGMEMO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var6 == DD && var32 == SGMEMO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var6 == DG && var32 == SGMEMO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var6 == DG && var32 == SGMEMO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var6 == DD && var32 == SGMEMO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var6 == DG && var32 == SGMEMO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var6 == DG && var32 == SGMEMO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var6 == DD && var32 == SGMEMO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var6 == DG && var32 == SGMEMO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var6 == DG && var32 == SGMEMO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var6 == DD && var32 == SGMEMO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var6 == DG && var32 == SGMEMO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var6 == DG && var32 == SGMEMO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var6 == DD && var32 == SGMEMO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var6 == DG && var32 == SGMEMO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var6 == DG && var32 == SGMEMO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var6 == DD && var32 == SGMEMO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var6 == DG && var32 == SGMEMO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var6 == DG && var32 == SGMEMO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var6 == DD && var32 == SGMEMO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var6 == DG && var32 == SGMEMO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var6 == DG && var32 == SGMEMO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var6 == DD && var32 == SGMEMO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var6 == DG && var32 == SGMEMO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var6 == DG && var32 == SGMEMO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var6 == DD && var32 == SGMEMO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var6 == DG && var32 == SGMEMO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var6 == DG && var32 == SGMEMO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var6 == DD && var32 == SGMEMO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var6 == DG && var32 == SGMEMO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var6 == DG && var32 == SGMEMO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var6 == DD && var32 == SGMEMO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var6 == DG && var32 == SGMEMO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var6 == DG && var32 == SGMEMO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var6 == DD && var32 == SGMEMO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var6 == DG && var32 == SGMEMO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var6 == DD && var32 == SGMEMO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var6 == DG && var32 == SGMEMO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var6 == DG && var32 == SGMEMO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var6 == DD && var32 == SGMEMO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var6 == DG && var32 == SGMEMO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var6 == DG && var32 == SGMEMO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var6 == DD && var32 == SGMEMO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var6 == DG && var32 == SGMEMO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var6 == DG && var32 == SGMEMO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var6 == DD && var32 == SGMEMO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var6 == DG && var32 == SGMEMO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var6 == DG && var32 == SGMEMO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var6 == DD && var32 == SGMEMO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var6 == DG && var32 == SGMEMO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var6 == DG && var32 == SGMEMO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var6 == DD && var32 == SGMEMO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MS && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MS && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MS && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MS && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MU && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MU && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MU && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MU && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MS && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MS && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MS && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MS && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MS && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MS && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MU && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MU && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MU && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MU && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MU && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MU && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MA && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MA && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MA && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MA && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MA && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MA && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MC && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MC && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MC && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MC && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MC && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MC && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MD && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MD && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MD && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MD && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MD && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MD && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ME && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ME && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ME && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ME && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ME && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ME && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MF && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MF && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MF && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MF && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MK && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MK && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MK && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MK && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MK && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MK && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ML && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ML && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ML && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ML && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ML && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ML && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MM && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MM && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MM && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MM && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MM && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MM && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MS && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MS && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MS && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MS && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MS && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MS && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MT && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MT && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MT && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MT && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MT && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MT && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MU && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MU && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MU && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MU && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MU && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MU && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MN && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MN && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MN && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MN && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MN && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MN && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MH && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MH && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MH && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MH && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MH && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MH && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MY && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MY && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MY && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MY && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MY && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MY && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var6 == DG && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var6 == DD && var32 == SGMANU && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var6 == DG && var32 == SGMEMO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var6 == DG && var32 == SGMEMO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var6 == DD && var32 == SGMEMO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var6 == DG && var32 == SGMEMO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var6 == DG && var32 == SGMEMO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var6 == DD && var32 == SGMEMO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var6 == DG && var32 == SGMEMO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var6 == DG && var32 == SGMEMO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var6 == DD && var32 == SGMEMO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var6 == DG && var32 == SGMEMO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var6 == DG && var32 == SGMEMO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var6 == DD && var32 == SGMEMO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var6 == DG && var32 == SGMEMO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var6 == DG && var32 == SGMEMO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var6 == DD && var32 == SGMEMO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var6 == DG && var32 == SGMEMO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var6 == DG && var32 == SGMEMO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var6 == DD && var32 == SGMEMO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var6 == DG && var32 == SGMEMO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var6 == DG && var32 == SGMEMO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var6 == DD && var32 == SGMEMO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var6 == DG && var32 == SGMEMO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var6 == DG && var32 == SGMEMO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var6 == DD && var32 == SGMEMO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var6 == DG && var32 == SGMEMO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var6 == DG && var32 == SGMEMO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var6 == DD && var32 == SGMEMO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var6 == DG && var32 == SGMEMO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var6 == DG && var32 == SGMEMO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var6 == DD && var32 == SGMEMO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var6 == DG && var32 == SGMEMO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var6 == DG && var32 == SGMEMO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var6 == DD && var32 == SGMEMO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var6 == DG && var32 == SGMEMO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var6 == DG && var32 == SGMEMO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var6 == DD && var32 == SGMEMO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var6 == DG && var32 == SGMEMO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var6 == DG && var32 == SGMEMO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var6 == DD && var32 == SGMEMO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var6 == DG && var32 == SGMEMO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var6 == DG && var32 == SGMEMO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var6 == DD && var32 == SGMEMO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var6 == DG && var32 == SGMEMO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var6 == DG && var32 == SGMEMO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var6 == DD && var32 == SGMEMO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var6 == DG && var32 == SGMEMO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var6 == DG && var32 == SGMEMO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var6 == DD && var32 == SGMEMO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var6 == DG && var32 == SGMEMO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var6 == DG && var32 == SGMEMO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var6 == DD && var32 == SGMEMO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var6 == DG && var32 == SGMEMO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var6 == DG && var32 == SGMEMO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var6 == DD && var32 == SGMEMO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var6 == DG && var32 == SGMEMO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var6 == DG && var32 == SGMEMO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var6 == DD && var32 == SGMEMO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var6 == DG && var32 == SGMEMO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var6 == DD && var32 == SGMEMO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var6 == DG && var32 == SGMEMO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var6 == DG && var32 == SGMEMO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var6 == DD && var32 == SGMEMO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var6 == DG && var32 == SGMEMO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var6 == DG && var32 == SGMEMO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var6 == DD && var32 == SGMEMO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var6 == DG && var32 == SGMEMO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var6 == DG && var32 == SGMEMO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var6 == DD && var32 == SGMEMO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var6 == DG && var32 == SGMEMO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var6 == DG && var32 == SGMEMO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var6 == DD && var32 == SGMEMO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var6 == DG && var32 == SGMEMO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var6 == DG && var32 == SGMEMO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var6 == DD && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var6 == DD && var32 == SGMEMO && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var6 == DG && var32 == SGMEMO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var6 == DD && var32 == SGMEMO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var6 == DG && var32 == SGMEMO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var6 == DD && var32 == SGMEMO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var6 == DG && var32 == SGMEMO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var6 == DD && var32 == SGMEMO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var6 == DG && var32 == SGMEMO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var6 == DD && var32 == SGMEMO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var6 == DG && var32 == SGMEMO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var6 == DD && var32 == SGMEMO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var6 == DG && var32 == SGMEMO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var6 == DD && var32 == SGMEMO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var6 == DG && var32 == SGMEMO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var6 == DD && var32 == SGMEMO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var6 == DG && var32 == SGMEMO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var6 == DD && var32 == SGMEMO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var6 == DG && var32 == SGMEMO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var6 == DD && var32 == SGMEMO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var6 == DG && var32 == SGMEMO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var6 == DD && var32 == SGMEMO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var6 == DG && var32 == SGMEMO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var6 == DD && var32 == SGMEMO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var6 == DG && var32 == SGMEMO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var6 == DD && var32 == SGMEMO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var6 == DG && var32 == SGMEMO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var6 == DD && var32 == SGMEMO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var6 == DG && var32 == SGMEMO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var6 == DD && var32 == SGMEMO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var6 == DG && var32 == SGMEMO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var6 == DD && var32 == SGMEMO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var6 == DG && var32 == SGMEMO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var6 == DD && var32 == SGMEMO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var6 == DG && var32 == SGMEMO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var6 == DD && var32 == SGMEMO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var6 == DG && var32 == SGMEMO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var6 == DD && var32 == SGMEMO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var6 == DG && var32 == SGMEMO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var6 == DD && var32 == SGMEMO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var6 == DG && var32 == SGMEMO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var6 == DD && var32 == SGMEMO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var6 == DG && var32 == SGMEMO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var6 == DD && var32 == SGMEMO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var6 == DG && var32 == SGMEMO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var6 == DD && var32 == SGMEMO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var6 == DG && var32 == SGMEMO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var6 == DD && var32 == SGMEMO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var6 == DG && var32 == SGMEMO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var6 == DD && var32 == SGMEMO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var6 == DG && var32 == SGMEMO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var6 == DD && var32 == SGMEMO && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var6 == DG && var32 == SGMANU && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var6 == DD && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var6 == DG && var32 == SGMANU && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) ) ); ( ( ( var1 == S64 && var2 == E1 && var5 == FRAN && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == FRAN && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DOTO && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DOTO && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ALLE && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ALLE && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == AUTR && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == AUTR && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == BELG && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == BELG && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DANE && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DANE && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ESPA && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ESPA && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == FINL && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == FINL && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == GRBR && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == GRBR && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == GREC && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == GREC && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == HOLL && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == HOLL && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == IRLA && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == IRLA && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ISLA && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ISLA && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ITAL && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ITAL && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == NORV && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == NORV && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == PORT && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == PORT && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == SUED && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == SUED && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == SUIS && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == SUIS && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == YOUG && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == YOUG && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == MAGH && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == MAGH && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == TURQ && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == TURQ && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ARGE && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ARGE && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == BRES && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == BRES && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == CHIL && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == CHIL && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == COLO && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == COLO && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == AFSU && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == AFSU && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == MARO && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == MARO && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ISRA && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ISRA && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == HONG && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == HONG && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == TCHE && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == TCHE && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == POLO && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == POLO && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == SLVQ && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == SLVQ && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == JAPO && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == JAPO && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == TAIW && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == TAIW && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == AUST && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == AUST && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == URUG && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == URUG && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DAIB && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DAIB && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DAIC && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DAIC && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DAID && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DAID && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DAIF && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DAIF && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == EUOR && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == EUOR && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == CETI && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == CETI && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == FRAN && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == FRAN && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DOTO && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DOTO && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ALLE && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ALLE && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == AUTR && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == AUTR && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == BELG && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == BELG && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DANE && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DANE && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ESPA && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ESPA && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == FINL && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == FINL && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == GRBR && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == GRBR && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == GREC && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == GREC && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == HOLL && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == HOLL && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == IRLA && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == IRLA && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == IRLA && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ISLA && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ISLA && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ITAL && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ITAL && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == NORV && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == NORV && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == PORT && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == PORT && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == SUED && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == SUED && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == SUIS && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == SUIS && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == YOUG && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == YOUG && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == MAGH && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == MAGH && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == TURQ && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == TURQ && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ARGE && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ARGE && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == BRES && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == BRES && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == CHIL && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == CHIL && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == COLO && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == COLO && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == AFSU && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == AFSU && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == MARO && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == MARO && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ISRA && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ISRA && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == HONG && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == HONG && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == TCHE && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == TCHE && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == POLO && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == POLO && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == SLVQ && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == SLVQ && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == JAPO && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == JAPO && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == TAIW && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == TAIW && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == AUST && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == AUST && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == URUG && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == URUG && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DAIB && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DAIB && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DAIC && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DAIC && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DAID && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DAID && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DAIF && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DAIF && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == EUOR && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == EUOR && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == CETI && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == CETI && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == FRAN && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == FRAN && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == FRAN && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DOTO && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DOTO && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DOTO && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ALLE && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ALLE && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ALLE && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == AUTR && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == AUTR && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == AUTR && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == BELG && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == BELG && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == BELG && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DANE && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DANE && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DANE && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ESPA && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ESPA && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ESPA && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == FINL && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == FINL && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == FINL && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == GRBR && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == GRBR && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == GRBR && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == GREC && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == GREC && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == GREC && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == HOLL && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == HOLL && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == HOLL && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == IRLA && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == IRLA && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == IRLA && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ISLA && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ISLA && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ISLA && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ITAL && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ITAL && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ITAL && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == NORV && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == NORV && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == NORV && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == PORT && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == PORT && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == PORT && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == SUED && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == SUED && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == SUED && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == SUIS && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == SUIS && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == SUIS && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == YOUG && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == YOUG && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == YOUG && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == MAGH && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == MAGH && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == MAGH && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == TURQ && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == TURQ && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == TURQ && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ARGE && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ARGE && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ARGE && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == BRES && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == BRES && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == BRES && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == CHIL && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == CHIL && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == CHIL && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == COLO && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == COLO && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == COLO && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == AFSU && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == AFSU && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == AFSU && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == MARO && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == MARO && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == MARO && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ISRA && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ISRA && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ISRA && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == HONG && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == HONG && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == HONG && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == TCHE && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == TCHE && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == TCHE && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == POLO && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == POLO && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == POLO && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == SLVQ && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == SLVQ && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == SLVQ && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == JAPO && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == JAPO && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == JAPO && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == TAIW && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == TAIW && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == TAIW && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == AUST && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == AUST && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == AUST && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == URUG && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == URUG && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == URUG && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAIB && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAIB && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAIB && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAIC && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAIC && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAIC && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAID && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAID && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAID && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAIF && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAIF && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAIF && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == EUOR && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == EUOR && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == EUOR && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == CETI && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == CETI && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == CETI && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == FRAN && var89 == EVA && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == FRAN && var89 == EVA && var91 == TKO && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == FRAN && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == FRAN && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == FRAN && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == FRAN && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == FRAN && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == FRAN && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == FRAN && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == FRAN && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == FRAN && var89 == Autre513 && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == FRAN && var89 == Autre513 && var91 == TKO && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == FRAN && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == FRAN && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == FRAN && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == FRAN && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DOTO && var89 == EVA && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DOTO && var89 == EVA && var91 == TKO && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DOTO && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DOTO && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DOTO && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DOTO && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DOTO && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == DOTO && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DOTO && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == DOTO && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DOTO && var89 == Autre513 && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DOTO && var89 == Autre513 && var91 == TKO && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DOTO && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DOTO && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DOTO && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DOTO && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ALLE && var89 == EVA && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ALLE && var89 == EVA && var91 == TKO && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ALLE && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ALLE && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ALLE && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ALLE && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ALLE && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == ALLE && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ALLE && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == ALLE && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ALLE && var89 == Autre513 && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ALLE && var89 == Autre513 && var91 == TKO && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ALLE && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ALLE && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ALLE && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ALLE && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AUTR && var89 == EVA && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AUTR && var89 == EVA && var91 == TKO && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AUTR && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == AUTR && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AUTR && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == AUTR && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AUTR && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == AUTR && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AUTR && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == AUTR && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AUTR && var89 == Autre513 && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AUTR && var89 == Autre513 && var91 == TKO && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AUTR && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == AUTR && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AUTR && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == AUTR && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == BELG && var89 == EVA && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == BELG && var89 == EVA && var91 == TKO && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == BELG && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == BELG && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == BELG && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == BELG && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == BELG && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == BELG && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == BELG && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == BELG && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == BELG && var89 == Autre513 && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == BELG && var89 == Autre513 && var91 == TKO && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == BELG && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == BELG && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == BELG && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == BELG && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DANE && var89 == EVA && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DANE && var89 == EVA && var91 == TKO && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DANE && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DANE && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DANE && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DANE && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DANE && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == DANE && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DANE && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == DANE && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DANE && var89 == Autre513 && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DANE && var89 == Autre513 && var91 == TKO && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DANE && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DANE && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DANE && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DANE && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ESPA && var89 == EVA && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ESPA && var89 == EVA && var91 == TKO && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ESPA && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ESPA && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ESPA && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ESPA && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ESPA && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == ESPA && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ESPA && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == ESPA && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ESPA && var89 == Autre513 && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ESPA && var89 == Autre513 && var91 == TKO && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ESPA && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ESPA && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ESPA && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ESPA && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == FINL && var89 == EVA && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == FINL && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == FINL && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == FINL && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == FINL && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == FINL && var89 == Autre513 && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == FINL && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == FINL && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GRBR && var89 == EVA && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GRBR && var89 == EVA && var91 == TKO && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GRBR && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == GRBR && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GRBR && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == GRBR && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GRBR && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == GRBR && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GRBR && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == GRBR && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GRBR && var89 == Autre513 && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GRBR && var89 == Autre513 && var91 == TKO && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GRBR && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == GRBR && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GRBR && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == GRBR && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GREC && var89 == EVA && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GREC && var89 == EVA && var91 == TKO && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GREC && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == GREC && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GREC && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == GREC && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GREC && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == GREC && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GREC && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == GREC && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GREC && var89 == Autre513 && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GREC && var89 == Autre513 && var91 == TKO && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GREC && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == GREC && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GREC && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == GREC && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HOLL && var89 == EVA && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HOLL && var89 == EVA && var91 == TKO && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HOLL && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == HOLL && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HOLL && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == HOLL && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HOLL && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == HOLL && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HOLL && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == HOLL && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HOLL && var89 == Autre513 && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HOLL && var89 == Autre513 && var91 == TKO && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HOLL && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == HOLL && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HOLL && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == HOLL && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == IRLA && var89 == EVA && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == IRLA && var89 == EVA && var91 == TKO && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == IRLA && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == IRLA && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == IRLA && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == IRLA && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == IRLA && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == IRLA && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == IRLA && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == IRLA && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == IRLA && var89 == Autre513 && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == IRLA && var89 == Autre513 && var91 == TKO && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == IRLA && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == IRLA && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == IRLA && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == IRLA && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ISLA && var89 == EVA && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ISLA && var89 == EVA && var91 == TKO && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ISLA && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ISLA && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ISLA && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ISLA && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ISLA && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == ISLA && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ISLA && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == ISLA && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ISLA && var89 == Autre513 && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ISLA && var89 == Autre513 && var91 == TKO && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ISLA && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ISLA && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ISLA && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ISLA && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ITAL && var89 == EVA && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ITAL && var89 == EVA && var91 == TKO && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ITAL && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ITAL && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ITAL && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ITAL && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ITAL && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == ITAL && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ITAL && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == ITAL && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ITAL && var89 == Autre513 && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ITAL && var89 == Autre513 && var91 == TKO && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ITAL && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ITAL && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ITAL && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ITAL && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == NORV && var89 == EVA && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == NORV && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == NORV && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == NORV && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == NORV && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == NORV && var89 == Autre513 && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == NORV && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == NORV && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == PORT && var89 == EVA && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == PORT && var89 == EVA && var91 == TKO && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == PORT && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == PORT && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == PORT && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == PORT && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == PORT && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == PORT && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == PORT && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == PORT && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == PORT && var89 == Autre513 && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == PORT && var89 == Autre513 && var91 == TKO && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == PORT && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == PORT && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == PORT && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == PORT && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SUED && var89 == EVA && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SUED && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == SUED && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SUED && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == SUED && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SUED && var89 == Autre513 && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SUED && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == SUED && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SUIS && var89 == EVA && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SUIS && var89 == EVA && var91 == TKO && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SUIS && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == SUIS && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SUIS && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == SUIS && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SUIS && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == SUIS && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SUIS && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == SUIS && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SUIS && var89 == Autre513 && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SUIS && var89 == Autre513 && var91 == TKO && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SUIS && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == SUIS && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SUIS && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == SUIS && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == YOUG && var89 == EVA && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == YOUG && var89 == EVA && var91 == TKO && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == YOUG && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == YOUG && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == YOUG && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == YOUG && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == YOUG && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == YOUG && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == YOUG && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == YOUG && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == YOUG && var89 == Autre513 && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == YOUG && var89 == Autre513 && var91 == TKO && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == YOUG && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == YOUG && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == YOUG && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == YOUG && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == MAGH && var89 == EVA && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == MAGH && var89 == EVA && var91 == TKO && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == MAGH && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == MAGH && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == MAGH && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == MAGH && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == MAGH && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == MAGH && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == MAGH && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == MAGH && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == MAGH && var89 == Autre513 && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == MAGH && var89 == Autre513 && var91 == TKO && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == MAGH && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == MAGH && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == MAGH && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == MAGH && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TURQ && var89 == EVA && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TURQ && var89 == EVA && var91 == TKO && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TURQ && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == TURQ && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TURQ && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == TURQ && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TURQ && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == TURQ && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TURQ && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == TURQ && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TURQ && var89 == Autre513 && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TURQ && var89 == Autre513 && var91 == TKO && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TURQ && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == TURQ && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TURQ && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == TURQ && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ARGE && var89 == EVA && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ARGE && var89 == EVA && var91 == TKO && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ARGE && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ARGE && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ARGE && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ARGE && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ARGE && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == ARGE && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ARGE && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == ARGE && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ARGE && var89 == Autre513 && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ARGE && var89 == Autre513 && var91 == TKO && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ARGE && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ARGE && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ARGE && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ARGE && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == BRES && var89 == EVA && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == BRES && var89 == EVA && var91 == TKO && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == BRES && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == BRES && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == BRES && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == BRES && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == BRES && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == BRES && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == BRES && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == BRES && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == BRES && var89 == Autre513 && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == BRES && var89 == Autre513 && var91 == TKO && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == BRES && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == BRES && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == BRES && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == BRES && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == CHIL && var89 == EVA && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == CHIL && var89 == EVA && var91 == TKO && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == CHIL && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == CHIL && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == CHIL && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == CHIL && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == CHIL && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == CHIL && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == CHIL && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == CHIL && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == CHIL && var89 == Autre513 && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == CHIL && var89 == Autre513 && var91 == TKO && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == CHIL && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == CHIL && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == CHIL && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == CHIL && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == COLO && var89 == EVA && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == COLO && var89 == EVA && var91 == TKO && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == COLO && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == COLO && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == COLO && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == COLO && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == COLO && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == COLO && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == COLO && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == COLO && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == COLO && var89 == Autre513 && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == COLO && var89 == Autre513 && var91 == TKO && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == COLO && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == COLO && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == COLO && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == COLO && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AFSU && var89 == EVA && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AFSU && var89 == EVA && var91 == TKO && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AFSU && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == AFSU && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AFSU && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == AFSU && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AFSU && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == AFSU && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AFSU && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == AFSU && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AFSU && var89 == Autre513 && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AFSU && var89 == Autre513 && var91 == TKO && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AFSU && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == AFSU && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AFSU && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == AFSU && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == MARO && var89 == EVA && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == MARO && var89 == EVA && var91 == TKO && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == MARO && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == MARO && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == MARO && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == MARO && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == MARO && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == MARO && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == MARO && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == MARO && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == MARO && var89 == Autre513 && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == MARO && var89 == Autre513 && var91 == TKO && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == MARO && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == MARO && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == MARO && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == MARO && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ISRA && var89 == EVA && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ISRA && var89 == EVA && var91 == TKO && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ISRA && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ISRA && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ISRA && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ISRA && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ISRA && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == ISRA && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ISRA && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == ISRA && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ISRA && var89 == Autre513 && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ISRA && var89 == Autre513 && var91 == TKO && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ISRA && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ISRA && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ISRA && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ISRA && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HONG && var89 == EVA && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HONG && var89 == EVA && var91 == TKO && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HONG && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == HONG && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HONG && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == HONG && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HONG && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == HONG && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HONG && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == HONG && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HONG && var89 == Autre513 && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HONG && var89 == Autre513 && var91 == TKO && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HONG && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == HONG && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HONG && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == HONG && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TCHE && var89 == EVA && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TCHE && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == TCHE && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TCHE && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == TCHE && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TCHE && var89 == Autre513 && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TCHE && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == TCHE && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == POLO && var89 == EVA && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == POLO && var89 == EVA && var91 == TKO && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == POLO && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == POLO && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == POLO && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == POLO && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == POLO && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == POLO && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == POLO && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == POLO && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == POLO && var89 == Autre513 && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == POLO && var89 == Autre513 && var91 == TKO && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == POLO && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == POLO && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == POLO && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == POLO && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SLVQ && var89 == EVA && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SLVQ && var89 == EVA && var91 == TKO && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SLVQ && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == SLVQ && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SLVQ && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == SLVQ && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SLVQ && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == SLVQ && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SLVQ && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == SLVQ && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SLVQ && var89 == Autre513 && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SLVQ && var89 == Autre513 && var91 == TKO && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SLVQ && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == SLVQ && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SLVQ && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == SLVQ && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == JAPO && var89 == EVA && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == JAPO && var89 == EVA && var91 == TKO && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == JAPO && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == JAPO && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == JAPO && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == JAPO && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == JAPO && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == JAPO && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == JAPO && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == JAPO && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == JAPO && var89 == Autre513 && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == JAPO && var89 == Autre513 && var91 == TKO && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == JAPO && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == JAPO && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == JAPO && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == JAPO && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TAIW && var89 == EVA && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TAIW && var89 == EVA && var91 == TKO && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TAIW && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == TAIW && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TAIW && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == TAIW && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TAIW && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == TAIW && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TAIW && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == TAIW && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TAIW && var89 == Autre513 && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TAIW && var89 == Autre513 && var91 == TKO && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TAIW && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == TAIW && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TAIW && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == TAIW && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AUST && var89 == EVA && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AUST && var89 == EVA && var91 == TKO && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AUST && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == AUST && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AUST && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == AUST && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AUST && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == AUST && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AUST && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == AUST && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AUST && var89 == Autre513 && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AUST && var89 == Autre513 && var91 == TKO && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AUST && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == AUST && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AUST && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == AUST && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == URUG && var89 == EVA && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == URUG && var89 == EVA && var91 == TKO && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == URUG && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == URUG && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == URUG && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == URUG && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == URUG && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == URUG && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == URUG && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == URUG && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == URUG && var89 == Autre513 && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == URUG && var89 == Autre513 && var91 == TKO && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == URUG && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == URUG && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == URUG && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == URUG && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIB && var89 == EVA && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIB && var89 == EVA && var91 == TKO && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIB && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DAIB && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIB && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DAIB && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIB && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == DAIB && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIB && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == DAIB && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIB && var89 == Autre513 && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIB && var89 == Autre513 && var91 == TKO && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIB && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DAIB && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIB && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DAIB && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIC && var89 == EVA && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIC && var89 == EVA && var91 == TKO && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIC && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DAIC && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIC && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DAIC && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIC && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == DAIC && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIC && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == DAIC && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIC && var89 == Autre513 && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIC && var89 == Autre513 && var91 == TKO && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIC && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DAIC && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIC && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DAIC && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAID && var89 == EVA && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAID && var89 == EVA && var91 == TKO && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAID && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DAID && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAID && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DAID && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAID && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == DAID && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAID && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == DAID && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAID && var89 == Autre513 && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAID && var89 == Autre513 && var91 == TKO && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAID && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DAID && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAID && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DAID && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIF && var89 == EVA && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIF && var89 == EVA && var91 == TKO && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIF && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DAIF && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIF && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DAIF && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIF && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == DAIF && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIF && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == DAIF && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIF && var89 == Autre513 && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIF && var89 == Autre513 && var91 == TKO && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIF && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DAIF && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIF && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DAIF && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == EUOR && var89 == EVA && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == EUOR && var89 == EVA && var91 == TKO && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == EUOR && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == EUOR && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == EUOR && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == EUOR && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == EUOR && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == EUOR && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == EUOR && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == EUOR && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == EUOR && var89 == Autre513 && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == EUOR && var89 == Autre513 && var91 == TKO && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == EUOR && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == EUOR && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == EUOR && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == EUOR && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == CETI && var89 == EVA && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == CETI && var89 == EVA && var91 == TKO && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == CETI && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == CETI && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == CETI && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == CETI && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == CETI && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == CETI && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == CETI && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == CETI && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == CETI && var89 == Autre513 && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == CETI && var89 == Autre513 && var91 == TKO && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == CETI && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == CETI && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == CETI && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == CETI && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == FRAN && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == FRAN && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DOTO && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DOTO && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ALLE && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ALLE && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == AUTR && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == AUTR && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == BELG && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == BELG && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DANE && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DANE && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ESPA && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ESPA && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == FINL && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == FINL && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == GRBR && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == GRBR && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == GREC && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == GREC && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == HOLL && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == HOLL && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == IRLA && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == IRLA && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ISLA && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ISLA && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ITAL && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ITAL && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == NORV && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == NORV && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == PORT && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == PORT && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == SUED && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == SUED && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == SUIS && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == SUIS && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == YOUG && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == YOUG && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == MAGH && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == MAGH && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == TURQ && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == TURQ && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ARGE && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ARGE && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == BRES && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == BRES && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == CHIL && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == CHIL && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == COLO && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == COLO && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == AFSU && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == AFSU && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == MARO && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == MARO && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ISRA && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ISRA && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == HONG && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == HONG && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == TCHE && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == TCHE && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == POLO && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == POLO && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == SLVQ && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == SLVQ && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == JAPO && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == JAPO && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == TAIW && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == TAIW && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == AUST && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == AUST && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == URUG && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == URUG && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DAIB && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DAIB && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DAIC && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DAIC && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DAID && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DAID && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DAIF && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DAIF && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == EUOR && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == EUOR && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == CETI && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == CETI && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == FRAN && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == DOTO && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == ALLE && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == AUTR && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == BELG && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == DANE && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == ESPA && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == FINL && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == GRBR && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == GREC && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == HOLL && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == IRLA && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == ISLA && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == ITAL && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == NORV && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == PORT && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == SUED && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == SUIS && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == YOUG && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == MAGH && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == TURQ && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == ARGE && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == BRES && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == CHIL && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == COLO && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == AFSU && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == MARO && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == ISRA && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == HONG && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == TCHE && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == POLO && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == SLVQ && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == JAPO && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == TAIW && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == AUST && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == URUG && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == DAIB && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == DAIC && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == DAID && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == DAIF && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == EUOR && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == CETI && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == FRAN && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == DOTO && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == ALLE && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == AUTR && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == BELG && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == DANE && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == ESPA && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == FINL && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == GRBR && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == GREC && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == HOLL && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == IRLA && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == ISLA && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == ITAL && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == NORV && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == PORT && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == SUED && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == SUIS && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == YOUG && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == MAGH && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == TURQ && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == ARGE && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == BRES && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == CHIL && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == COLO && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == AFSU && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == MARO && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == ISRA && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == HONG && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == TCHE && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == POLO && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == SLVQ && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == JAPO && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == TAIW && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == AUST && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == URUG && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == DAIB && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == DAIC && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == DAID && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == DAIF && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == EUOR && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == CETI && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == FRAN && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == FRAN && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DOTO && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DOTO && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ALLE && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ALLE && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == AUTR && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == AUTR && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == BELG && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == BELG && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DANE && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DANE && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ESPA && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ESPA && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == FINL && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == FINL && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == GRBR && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == GRBR && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == GREC && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == GREC && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == HOLL && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == HOLL && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == IRLA && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == IRLA && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ISLA && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ISLA && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ITAL && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ITAL && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == NORV && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == NORV && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == PORT && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == PORT && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == SUED && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == SUED && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == SUIS && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == SUIS && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == YOUG && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == YOUG && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == MAGH && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == MAGH && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == TURQ && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == TURQ && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ARGE && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ARGE && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == BRES && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == BRES && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == CHIL && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == CHIL && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == COLO && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == COLO && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == AFSU && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == AFSU && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == MARO && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == MARO && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ISRA && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ISRA && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == HONG && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == HONG && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == TCHE && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == TCHE && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == POLO && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == POLO && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == SLVQ && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == SLVQ && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == JAPO && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == JAPO && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == TAIW && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == TAIW && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == AUST && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == AUST && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == URUG && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == URUG && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DAIB && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DAIB && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DAIC && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DAIC && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DAID && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DAID && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DAIF && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DAIF && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == EUOR && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == EUOR && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == CETI && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == CETI && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == FRAN && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == FRAN && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == FRAN && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DOTO && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DOTO && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DOTO && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ALLE && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ALLE && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ALLE && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == AUTR && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == AUTR && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == AUTR && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == BELG && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == BELG && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == BELG && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DANE && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DANE && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DANE && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ESPA && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ESPA && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ESPA && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == FINL && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == FINL && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == FINL && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == GRBR && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == GRBR && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == GRBR && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == GREC && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == GREC && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == GREC && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == HOLL && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == HOLL && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == HOLL && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == IRLA && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == IRLA && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == IRLA && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ISLA && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ISLA && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ISLA && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ITAL && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ITAL && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ITAL && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == NORV && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == NORV && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == NORV && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == PORT && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == PORT && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == PORT && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == SUED && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == SUED && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == SUED && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == SUIS && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == SUIS && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == SUIS && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == YOUG && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == YOUG && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == YOUG && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == MAGH && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == MAGH && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == MAGH && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == TURQ && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == TURQ && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == TURQ && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ARGE && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ARGE && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ARGE && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == BRES && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == BRES && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == BRES && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == CHIL && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == CHIL && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == CHIL && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == COLO && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == COLO && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == COLO && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == AFSU && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == AFSU && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == AFSU && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == MARO && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == MARO && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == MARO && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ISRA && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ISRA && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ISRA && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == HONG && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == HONG && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == HONG && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == TCHE && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == TCHE && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == TCHE && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == POLO && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == POLO && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == POLO && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == SLVQ && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == SLVQ && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == SLVQ && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == JAPO && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == JAPO && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == JAPO && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == TAIW && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == TAIW && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == TAIW && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == AUST && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == AUST && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == AUST && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == URUG && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == URUG && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == URUG && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DAIB && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DAIB && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DAIB && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DAIC && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DAIC && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DAIC && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DAID && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DAID && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DAID && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DAIF && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DAIF && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DAIF && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == EUOR && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == EUOR && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == EUOR && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == CETI && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == CETI && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == CETI && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FRAN && var89 == EVA && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FRAN && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FRAN && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FRAN && var89 == Autre513 && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FRAN && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DOTO && var89 == EVA && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DOTO && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DOTO && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DOTO && var89 == Autre513 && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DOTO && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ALLE && var89 == EVA && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ALLE && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ALLE && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ALLE && var89 == Autre513 && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ALLE && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AUTR && var89 == EVA && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AUTR && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AUTR && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AUTR && var89 == Autre513 && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AUTR && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == BELG && var89 == EVA && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == BELG && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == BELG && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == BELG && var89 == Autre513 && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == BELG && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DANE && var89 == EVA && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DANE && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DANE && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DANE && var89 == Autre513 && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DANE && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ESPA && var89 == EVA && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ESPA && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ESPA && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ESPA && var89 == Autre513 && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ESPA && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FINL && var89 == EVA && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FINL && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FINL && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FINL && var89 == Autre513 && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FINL && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == GRBR && var89 == EVA && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == GRBR && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == GRBR && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == GRBR && var89 == Autre513 && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == GRBR && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == GREC && var89 == EVA && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == GREC && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == GREC && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == GREC && var89 == Autre513 && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == GREC && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == HOLL && var89 == EVA && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == HOLL && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == HOLL && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == HOLL && var89 == Autre513 && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == HOLL && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == IRLA && var89 == EVA && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == IRLA && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == IRLA && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == IRLA && var89 == Autre513 && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == IRLA && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ISLA && var89 == EVA && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ISLA && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ISLA && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ISLA && var89 == Autre513 && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ISLA && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ITAL && var89 == EVA && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ITAL && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ITAL && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ITAL && var89 == Autre513 && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ITAL && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == NORV && var89 == EVA && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == NORV && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == NORV && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == NORV && var89 == Autre513 && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == NORV && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == PORT && var89 == EVA && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == PORT && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == PORT && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == PORT && var89 == Autre513 && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == PORT && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SUED && var89 == EVA && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SUED && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SUED && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SUED && var89 == Autre513 && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SUED && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SUIS && var89 == EVA && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SUIS && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SUIS && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SUIS && var89 == Autre513 && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SUIS && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == YOUG && var89 == EVA && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == YOUG && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == YOUG && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == YOUG && var89 == Autre513 && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == YOUG && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == MAGH && var89 == EVA && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == MAGH && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == MAGH && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == MAGH && var89 == Autre513 && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == MAGH && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TURQ && var89 == EVA && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TURQ && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TURQ && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TURQ && var89 == Autre513 && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TURQ && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ARGE && var89 == EVA && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ARGE && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ARGE && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ARGE && var89 == Autre513 && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ARGE && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == BRES && var89 == EVA && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == BRES && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == BRES && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == BRES && var89 == Autre513 && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == BRES && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == CHIL && var89 == EVA && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == CHIL && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == CHIL && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == CHIL && var89 == Autre513 && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == CHIL && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == COLO && var89 == EVA && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == COLO && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == COLO && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == COLO && var89 == Autre513 && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == COLO && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AFSU && var89 == EVA && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AFSU && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AFSU && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AFSU && var89 == Autre513 && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AFSU && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == MARO && var89 == EVA && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == MARO && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == MARO && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == MARO && var89 == Autre513 && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == MARO && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ISRA && var89 == EVA && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ISRA && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ISRA && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ISRA && var89 == Autre513 && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ISRA && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == HONG && var89 == EVA && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == HONG && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == HONG && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == HONG && var89 == Autre513 && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == HONG && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TCHE && var89 == EVA && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TCHE && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TCHE && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TCHE && var89 == Autre513 && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TCHE && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == POLO && var89 == EVA && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == POLO && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == POLO && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == POLO && var89 == Autre513 && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == POLO && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SLVQ && var89 == EVA && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SLVQ && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SLVQ && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SLVQ && var89 == Autre513 && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SLVQ && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == JAPO && var89 == EVA && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == JAPO && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == JAPO && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == JAPO && var89 == Autre513 && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == JAPO && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TAIW && var89 == EVA && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TAIW && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TAIW && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TAIW && var89 == Autre513 && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TAIW && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AUST && var89 == EVA && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AUST && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AUST && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AUST && var89 == Autre513 && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AUST && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == URUG && var89 == EVA && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == URUG && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == URUG && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == URUG && var89 == Autre513 && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == URUG && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIB && var89 == EVA && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIB && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIB && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIB && var89 == Autre513 && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIB && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIC && var89 == EVA && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIC && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIC && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIC && var89 == Autre513 && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIC && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAID && var89 == EVA && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAID && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAID && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAID && var89 == Autre513 && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAID && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIF && var89 == EVA && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIF && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIF && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIF && var89 == Autre513 && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIF && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == EUOR && var89 == EVA && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == EUOR && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == EUOR && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == EUOR && var89 == Autre513 && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == EUOR && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == CETI && var89 == EVA && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == CETI && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == CETI && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == CETI && var89 == Autre513 && var91 == TKO && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == CETI && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == FRAN && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == FRAN && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DOTO && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DOTO && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ALLE && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ALLE && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == AUTR && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == AUTR && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == BELG && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == BELG && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DANE && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DANE && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ESPA && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ESPA && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == FINL && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == FINL && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == GRBR && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == GRBR && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == GREC && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == GREC && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == HOLL && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == HOLL && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == IRLA && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == IRLA && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ISLA && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ISLA && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ITAL && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ITAL && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == NORV && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == NORV && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == PORT && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == PORT && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == SUED && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == SUED && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == SUIS && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == SUIS && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == YOUG && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == YOUG && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == MAGH && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == MAGH && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == TURQ && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == TURQ && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ARGE && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ARGE && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == BRES && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == BRES && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == CHIL && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == CHIL && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == COLO && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == COLO && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == AFSU && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == AFSU && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == MARO && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == MARO && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ISRA && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ISRA && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == HONG && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == HONG && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == TCHE && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == TCHE && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == POLO && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == POLO && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == SLVQ && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == SLVQ && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == JAPO && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == JAPO && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == TAIW && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == TAIW && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == AUST && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == AUST && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == URUG && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == URUG && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DAIB && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DAIB && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DAIC && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DAIC && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DAID && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DAID && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DAIF && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DAIF && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == EUOR && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == EUOR && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == CETI && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == CETI && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == FRAN && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == FRAN && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == FRAN && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DOTO && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DOTO && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DOTO && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ALLE && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ALLE && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ALLE && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == AUTR && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == AUTR && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == AUTR && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == BELG && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == BELG && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == BELG && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DANE && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DANE && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DANE && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ESPA && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ESPA && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ESPA && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == FINL && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == FINL && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == FINL && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == GRBR && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == GRBR && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == GRBR && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == GREC && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == GREC && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == GREC && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == HOLL && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == HOLL && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == HOLL && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == IRLA && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == IRLA && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == IRLA && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ISLA && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ISLA && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ISLA && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ITAL && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ITAL && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ITAL && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == NORV && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == NORV && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == NORV && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == PORT && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == PORT && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == PORT && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == SUED && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == SUED && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == SUED && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == SUIS && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == SUIS && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == SUIS && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == YOUG && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == YOUG && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == YOUG && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == MAGH && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == MAGH && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == MAGH && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == TURQ && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == TURQ && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == TURQ && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ARGE && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ARGE && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ARGE && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == BRES && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == BRES && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == BRES && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == CHIL && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == CHIL && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == CHIL && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == COLO && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == COLO && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == COLO && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == AFSU && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == AFSU && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == AFSU && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == MARO && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == MARO && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == MARO && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ISRA && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ISRA && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ISRA && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == HONG && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == HONG && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == HONG && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == TCHE && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == TCHE && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == TCHE && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == POLO && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == POLO && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == POLO && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == SLVQ && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == SLVQ && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == SLVQ && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == JAPO && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == JAPO && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == JAPO && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == TAIW && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == TAIW && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == TAIW && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == AUST && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == AUST && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == AUST && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == URUG && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == URUG && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == URUG && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAIB && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAIB && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAIB && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAIC && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAIC && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAIC && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAID && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAID && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAID && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAIF && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAIF && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAIF && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == EUOR && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == EUOR && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == EUOR && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == CETI && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == CETI && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == CETI && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == FRAN && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == FRAN && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == FRAN && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == FRAN && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == FRAN && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == FRAN && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == FRAN && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == FRAN && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == FRAN && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == FRAN && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == FRAN && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == FRAN && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DOTO && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DOTO && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DOTO && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DOTO && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DOTO && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == DOTO && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DOTO && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == DOTO && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DOTO && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DOTO && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DOTO && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DOTO && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ALLE && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ALLE && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ALLE && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ALLE && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ALLE && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == ALLE && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ALLE && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == ALLE && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ALLE && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ALLE && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ALLE && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ALLE && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == AUTR && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == AUTR && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == AUTR && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == AUTR && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == AUTR && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == AUTR && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == AUTR && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == AUTR && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == AUTR && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == AUTR && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == AUTR && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == AUTR && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == BELG && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == BELG && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == BELG && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == BELG && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == BELG && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == BELG && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == BELG && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == BELG && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == BELG && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == BELG && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == BELG && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == BELG && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DANE && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DANE && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DANE && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DANE && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DANE && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == DANE && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DANE && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == DANE && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DANE && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DANE && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DANE && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DANE && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ESPA && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ESPA && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ESPA && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ESPA && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ESPA && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == ESPA && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ESPA && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == ESPA && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ESPA && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ESPA && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ESPA && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ESPA && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == FINL && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == FINL && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == FINL && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == FINL && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == FINL && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == FINL && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == GRBR && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == GRBR && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == GRBR && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == GRBR && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == GRBR && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == GRBR && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == GRBR && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == GRBR && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == GRBR && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == GRBR && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == GRBR && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == GRBR && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == GREC && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == GREC && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == GREC && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == GREC && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == GREC && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == GREC && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == GREC && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == GREC && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == GREC && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == GREC && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == GREC && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == GREC && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == HOLL && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == HOLL && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == HOLL && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == HOLL && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == HOLL && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == HOLL && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == HOLL && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == HOLL && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == HOLL && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == HOLL && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == HOLL && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == HOLL && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == IRLA && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == IRLA && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == IRLA && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == IRLA && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == IRLA && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == IRLA && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == IRLA && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == IRLA && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == IRLA && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == IRLA && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == IRLA && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == IRLA && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ISLA && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ISLA && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ISLA && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ISLA && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ISLA && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == ISLA && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ISLA && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == ISLA && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ISLA && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ISLA && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ISLA && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ISLA && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ITAL && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ITAL && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ITAL && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ITAL && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ITAL && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == ITAL && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ITAL && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == ITAL && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ITAL && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ITAL && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ITAL && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ITAL && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == NORV && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == NORV && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == NORV && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == NORV && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == NORV && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == NORV && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == PORT && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == PORT && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == PORT && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == PORT && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == PORT && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == PORT && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == PORT && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == PORT && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == PORT && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == PORT && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == PORT && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == PORT && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == SUED && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == SUED && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == SUED && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == SUED && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == SUED && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == SUED && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == SUIS && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == SUIS && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == SUIS && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == SUIS && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == SUIS && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == SUIS && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == SUIS && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == SUIS && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == SUIS && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == SUIS && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == SUIS && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == SUIS && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == YOUG && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == YOUG && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == YOUG && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == YOUG && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == YOUG && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == YOUG && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == YOUG && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == YOUG && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == YOUG && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == YOUG && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == YOUG && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == YOUG && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == MAGH && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == MAGH && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == MAGH && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == MAGH && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == MAGH && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == MAGH && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == MAGH && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == MAGH && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == MAGH && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == MAGH && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == MAGH && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == MAGH && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == TURQ && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == TURQ && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == TURQ && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == TURQ && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == TURQ && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == TURQ && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == TURQ && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == TURQ && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == TURQ && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == TURQ && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == TURQ && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == TURQ && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ARGE && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ARGE && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ARGE && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ARGE && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ARGE && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == ARGE && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ARGE && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == ARGE && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ARGE && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ARGE && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ARGE && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ARGE && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == BRES && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == BRES && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == BRES && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == BRES && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == BRES && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == BRES && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == BRES && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == BRES && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == BRES && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == BRES && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == BRES && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == BRES && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == CHIL && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == CHIL && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == CHIL && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == CHIL && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == CHIL && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == CHIL && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == CHIL && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == CHIL && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == CHIL && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == CHIL && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == CHIL && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == CHIL && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == COLO && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == COLO && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == COLO && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == COLO && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == COLO && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == COLO && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == COLO && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == COLO && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == COLO && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == COLO && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == COLO && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == COLO && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == AFSU && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == AFSU && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == AFSU && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == AFSU && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == AFSU && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == AFSU && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == AFSU && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == AFSU && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == AFSU && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == AFSU && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == AFSU && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == AFSU && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == MARO && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == MARO && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == MARO && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == MARO && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == MARO && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == MARO && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == MARO && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == MARO && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == MARO && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == MARO && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == MARO && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == MARO && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ISRA && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ISRA && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ISRA && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ISRA && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ISRA && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == ISRA && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ISRA && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == ISRA && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ISRA && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ISRA && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ISRA && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ISRA && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == HONG && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == HONG && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == HONG && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == HONG && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == HONG && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == HONG && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == HONG && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == HONG && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == HONG && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == HONG && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == HONG && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == HONG && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == TCHE && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == TCHE && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == TCHE && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == TCHE && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == TCHE && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == TCHE && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == POLO && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == POLO && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == POLO && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == POLO && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == POLO && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == POLO && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == POLO && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == POLO && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == POLO && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == POLO && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == POLO && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == POLO && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == SLVQ && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == SLVQ && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == SLVQ && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == SLVQ && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == SLVQ && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == SLVQ && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == SLVQ && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == SLVQ && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == SLVQ && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == SLVQ && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == SLVQ && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == SLVQ && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == JAPO && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == JAPO && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == JAPO && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == JAPO && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == JAPO && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == JAPO && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == JAPO && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == JAPO && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == JAPO && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == JAPO && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == JAPO && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == JAPO && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == TAIW && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == TAIW && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == TAIW && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == TAIW && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == TAIW && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == TAIW && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == TAIW && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == TAIW && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == TAIW && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == TAIW && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == TAIW && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == TAIW && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == AUST && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == AUST && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == AUST && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == AUST && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == AUST && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == AUST && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == AUST && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == AUST && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == AUST && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == AUST && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == AUST && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == AUST && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == URUG && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == URUG && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == URUG && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == URUG && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == URUG && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == URUG && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == URUG && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == URUG && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == URUG && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == URUG && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == URUG && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == URUG && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAIB && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DAIB && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAIB && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DAIB && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAIB && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == DAIB && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAIB && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == DAIB && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAIB && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DAIB && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAIB && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DAIB && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAIC && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DAIC && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAIC && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DAIC && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAIC && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == DAIC && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAIC && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == DAIC && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAIC && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DAIC && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAIC && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DAIC && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAID && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DAID && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAID && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DAID && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAID && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == DAID && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAID && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == DAID && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAID && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DAID && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAID && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DAID && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAIF && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DAIF && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAIF && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DAIF && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAIF && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == DAIF && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAIF && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == DAIF && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAIF && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DAIF && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAIF && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DAIF && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == EUOR && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == EUOR && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == EUOR && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == EUOR && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == EUOR && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == EUOR && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == EUOR && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == EUOR && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == EUOR && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == EUOR && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == EUOR && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == EUOR && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == CETI && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == CETI && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == CETI && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == CETI && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == CETI && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == CETI && var89 == EVE && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == CETI && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == CETI && var89 == EVE && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == CETI && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == CETI && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == CETI && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == CETI && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == FRAN && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == FRAN && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == DOTO && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == DOTO && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == ALLE && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == ALLE && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == AUTR && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == AUTR && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == BELG && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == BELG && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == DANE && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == DANE && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == ESPA && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == ESPA && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == FINL && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == FINL && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == GRBR && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == GRBR && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == GREC && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == GREC && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == HOLL && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == HOLL && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == IRLA && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == IRLA && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == ISLA && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == ISLA && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == ITAL && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == ITAL && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == NORV && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == NORV && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == PORT && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == PORT && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == SUED && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == SUED && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == SUIS && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == SUIS && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == YOUG && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == YOUG && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == MAGH && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == MAGH && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == TURQ && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == TURQ && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == ARGE && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == ARGE && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == BRES && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == BRES && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == CHIL && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == CHIL && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == COLO && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == COLO && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == AFSU && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == AFSU && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == MARO && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == MARO && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == ISRA && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == ISRA && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == HONG && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == HONG && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == TCHE && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == TCHE && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == POLO && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == POLO && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == SLVQ && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == SLVQ && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == JAPO && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == JAPO && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == TAIW && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == TAIW && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == AUST && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == AUST && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == URUG && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == URUG && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == DAIB && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == DAIB && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == DAIC && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == DAIC && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == DAID && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == DAID && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == DAIF && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == DAIF && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == EUOR && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == EUOR && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == CETI && var89 == EVA && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == CETI && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == FRAN && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == DOTO && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == ALLE && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == AUTR && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == BELG && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == DANE && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == ESPA && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == FINL && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == GRBR && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == GREC && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == HOLL && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == IRLA && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == ISLA && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == ITAL && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == NORV && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == PORT && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == SUED && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == SUIS && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == YOUG && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == MAGH && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == TURQ && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == ARGE && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == BRES && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == CHIL && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == COLO && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == AFSU && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == MARO && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == ISRA && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == HONG && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == TCHE && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == POLO && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == SLVQ && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == JAPO && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == TAIW && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == AUST && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == URUG && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == DAIB && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == DAIC && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == DAID && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == DAIF && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == EUOR && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == CETI && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == FRAN && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == FRAN && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == DOTO && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == DOTO && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == ALLE && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == ALLE && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == AUTR && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == AUTR && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == BELG && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == BELG && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == DANE && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == DANE && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == ESPA && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == ESPA && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == FINL && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == FINL && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == GRBR && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == GRBR && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == GREC && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == GREC && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == HOLL && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == HOLL && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == IRLA && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == IRLA && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == ISLA && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == ISLA && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == ITAL && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == ITAL && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == NORV && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == NORV && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == PORT && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == PORT && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == SUED && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == SUED && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == SUIS && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == SUIS && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == YOUG && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == YOUG && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == MAGH && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == MAGH && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == TURQ && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == TURQ && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == ARGE && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == ARGE && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == BRES && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == BRES && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == CHIL && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == CHIL && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == COLO && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == COLO && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == AFSU && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == AFSU && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == MARO && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == MARO && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == ISRA && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == ISRA && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == HONG && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == HONG && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == TCHE && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == TCHE && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == POLO && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == POLO && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == SLVQ && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == SLVQ && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == JAPO && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == JAPO && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == TAIW && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == TAIW && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == AUST && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == AUST && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == URUG && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == URUG && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == DAIB && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == DAIB && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == DAIC && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == DAIC && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == DAID && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == DAID && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == DAIF && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == DAIF && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == EUOR && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == EUOR && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == CETI && var89 == EVA && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == CETI && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == FRAN && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == DOTO && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == ALLE && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == AUTR && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == BELG && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == DANE && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == ESPA && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == FINL && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == GRBR && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == GREC && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == HOLL && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == IRLA && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == ISLA && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == ITAL && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == NORV && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == PORT && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == SUED && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == SUIS && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == YOUG && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == MAGH && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == TURQ && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == ARGE && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == BRES && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == CHIL && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == COLO && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == AFSU && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == MARO && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == ISRA && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == HONG && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == TCHE && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == POLO && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == SLVQ && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == JAPO && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == TAIW && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == AUST && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == URUG && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == DAIB && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == DAIC && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == DAID && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == DAIF && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == EUOR && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == CETI && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == FRAN && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == DOTO && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == ALLE && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == AUTR && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == BELG && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == DANE && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == ESPA && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == FINL && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == GRBR && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == GREC && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == HOLL && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == IRLA && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == ISLA && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == ITAL && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == NORV && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == PORT && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == SUED && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == SUIS && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == YOUG && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == MAGH && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == TURQ && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == ARGE && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == BRES && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == CHIL && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == COLO && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == AFSU && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == MARO && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == ISRA && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == HONG && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == TCHE && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == POLO && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == SLVQ && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == JAPO && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == TAIW && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == AUST && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == URUG && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == DAIB && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == DAIC && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == DAID && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == DAIF && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == EUOR && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == CETI && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == FRAN && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == FRAN && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == DOTO && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == DOTO && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == ALLE && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == ALLE && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == AUTR && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == AUTR && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == BELG && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == BELG && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == DANE && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == DANE && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == ESPA && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == ESPA && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == FINL && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == FINL && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == GRBR && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == GRBR && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == GREC && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == GREC && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == HOLL && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == HOLL && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == IRLA && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == IRLA && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == ISLA && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == ISLA && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == ITAL && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == ITAL && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == NORV && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == NORV && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == PORT && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == PORT && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == SUED && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == SUED && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == SUIS && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == SUIS && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == YOUG && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == YOUG && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == MAGH && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == MAGH && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == TURQ && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == TURQ && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == ARGE && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == ARGE && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == BRES && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == BRES && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == CHIL && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == CHIL && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == COLO && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == COLO && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == AFSU && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == AFSU && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == MARO && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == MARO && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == ISRA && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == ISRA && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == HONG && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == HONG && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == TCHE && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == TCHE && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == POLO && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == POLO && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == SLVQ && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == SLVQ && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == JAPO && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == JAPO && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == TAIW && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == TAIW && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == AUST && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == AUST && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == URUG && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == URUG && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == DAIB && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == DAIB && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == DAIC && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == DAIC && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == DAID && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == DAID && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == DAIF && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == DAIF && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == EUOR && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == EUOR && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == CETI && var89 == Autre513 && var91 == Autre613 && var97 == BCNTC && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == CETI && var89 == Autre513 && var91 == Autre613 && var97 == BCTC && var98 == Autre913 ) ) ); ( ( ( var1 == S64 && var2 == E1 && var5 == FRAN && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == FRAN && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == FRAN && var6 == DG && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == FRAN && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == FRAN && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == FRAN && var6 == DD && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == FRAN && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DOTO && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DOTO && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DOTO && var6 == DG && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DOTO && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DOTO && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DOTO && var6 == DD && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DOTO && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ALLE && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ALLE && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ALLE && var6 == DG && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ALLE && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ALLE && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ALLE && var6 == DD && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ALLE && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == AUTR && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == AUTR && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == AUTR && var6 == DG && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == AUTR && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == AUTR && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == AUTR && var6 == DD && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == AUTR && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == BELG && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == BELG && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == BELG && var6 == DG && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == BELG && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == BELG && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == BELG && var6 == DD && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == BELG && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DANE && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DANE && var6 == DG && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DANE && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DANE && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DANE && var6 == DD && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DANE && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ESPA && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ESPA && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ESPA && var6 == DG && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ESPA && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ESPA && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ESPA && var6 == DD && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ESPA && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == FINL && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == FINL && var6 == DG && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == FINL && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == FINL && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == FINL && var6 == DD && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == FINL && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == GRBR && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == GRBR && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == GRBR && var6 == DG && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == GRBR && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == GRBR && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == GRBR && var6 == DD && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == GRBR && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == GREC && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == GREC && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == GREC && var6 == DG && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == GREC && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == GREC && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == GREC && var6 == DD && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == GREC && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == HOLL && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == HOLL && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == HOLL && var6 == DG && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == HOLL && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == HOLL && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == HOLL && var6 == DD && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == HOLL && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == IRLA && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == IRLA && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == IRLA && var6 == DG && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == IRLA && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == IRLA && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == IRLA && var6 == DD && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == IRLA && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ISLA && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ISLA && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ISLA && var6 == DG && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ISLA && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ISLA && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ISLA && var6 == DD && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ISLA && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ITAL && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ITAL && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ITAL && var6 == DG && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ITAL && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ITAL && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ITAL && var6 == DD && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ITAL && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == NORV && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == NORV && var6 == DG && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == NORV && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == NORV && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == NORV && var6 == DD && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == NORV && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == PORT && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == PORT && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == PORT && var6 == DG && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == PORT && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == PORT && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == PORT && var6 == DD && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == PORT && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == SUED && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == SUED && var6 == DG && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == SUED && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == SUED && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == SUED && var6 == DD && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == SUED && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == SUIS && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == SUIS && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == SUIS && var6 == DG && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == SUIS && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == SUIS && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == SUIS && var6 == DD && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == SUIS && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == YOUG && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == YOUG && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == YOUG && var6 == DG && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == YOUG && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == YOUG && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == YOUG && var6 == DD && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == YOUG && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == MAGH && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == MAGH && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == MAGH && var6 == DG && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == MAGH && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == MAGH && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == MAGH && var6 == DD && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == MAGH && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == TURQ && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == TURQ && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == TURQ && var6 == DG && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == TURQ && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == TURQ && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == TURQ && var6 == DD && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == TURQ && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ARGE && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ARGE && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ARGE && var6 == DG && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ARGE && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ARGE && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ARGE && var6 == DD && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ARGE && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == BRES && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == BRES && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == BRES && var6 == DG && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == BRES && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == BRES && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == BRES && var6 == DD && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == BRES && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == CHIL && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == CHIL && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == CHIL && var6 == DG && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == CHIL && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == CHIL && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == CHIL && var6 == DD && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == CHIL && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == COLO && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == COLO && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == COLO && var6 == DG && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == COLO && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == COLO && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == COLO && var6 == DD && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == COLO && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == AFSU && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == AFSU && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == AFSU && var6 == DG && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == AFSU && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == AFSU && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == AFSU && var6 == DD && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == AFSU && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == MARO && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == MARO && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == MARO && var6 == DG && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == MARO && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == MARO && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == MARO && var6 == DD && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == MARO && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ISRA && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ISRA && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ISRA && var6 == DG && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ISRA && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ISRA && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ISRA && var6 == DD && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == ISRA && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == HONG && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == HONG && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == HONG && var6 == DG && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == HONG && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == HONG && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == HONG && var6 == DD && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == HONG && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == TCHE && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == TCHE && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == TCHE && var6 == DG && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == TCHE && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == TCHE && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == TCHE && var6 == DD && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == TCHE && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == POLO && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == POLO && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == POLO && var6 == DG && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == POLO && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == POLO && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == POLO && var6 == DD && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == POLO && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == SLVQ && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == SLVQ && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == SLVQ && var6 == DG && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == SLVQ && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == SLVQ && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == SLVQ && var6 == DD && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == SLVQ && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == JAPO && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == JAPO && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == JAPO && var6 == DG && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == JAPO && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == JAPO && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == JAPO && var6 == DD && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == JAPO && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == TAIW && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == TAIW && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == TAIW && var6 == DG && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == TAIW && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == TAIW && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == TAIW && var6 == DD && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == TAIW && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == AUST && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == AUST && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == AUST && var6 == DG && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == AUST && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == AUST && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == AUST && var6 == DD && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == AUST && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == URUG && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == URUG && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == URUG && var6 == DG && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == URUG && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == URUG && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == URUG && var6 == DD && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == URUG && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DAIB && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DAIB && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DAIB && var6 == DG && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DAIB && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DAIB && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DAIB && var6 == DD && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DAIB && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DAIC && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DAIC && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DAIC && var6 == DG && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DAIC && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DAIC && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DAIC && var6 == DD && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DAIC && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DAID && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DAID && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DAID && var6 == DG && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DAID && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DAID && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DAID && var6 == DD && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DAID && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DAIF && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DAIF && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DAIF && var6 == DG && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DAIF && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DAIF && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DAIF && var6 == DD && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == DAIF && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == EUOR && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == EUOR && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == EUOR && var6 == DG && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == EUOR && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == EUOR && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == EUOR && var6 == DD && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == EUOR && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == CETI && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == CETI && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == CETI && var6 == DG && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == CETI && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == CETI && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == CETI && var6 == DD && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var5 == CETI && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == FRAN && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == FRAN && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == FRAN && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == FRAN && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DOTO && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DOTO && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DOTO && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DOTO && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ALLE && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ALLE && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ALLE && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ALLE && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == AUTR && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == AUTR && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == AUTR && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == AUTR && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == BELG && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == BELG && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == BELG && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == BELG && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DANE && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DANE && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DANE && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DANE && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ESPA && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ESPA && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ESPA && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ESPA && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == FINL && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == FINL && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == FINL && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == FINL && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == GRBR && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == GRBR && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == GRBR && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == GRBR && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == GREC && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == GREC && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == GREC && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == GREC && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == HOLL && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == HOLL && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == HOLL && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == HOLL && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == IRLA && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == IRLA && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == IRLA && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == IRLA && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ISLA && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ISLA && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ISLA && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ISLA && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ITAL && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ITAL && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ITAL && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ITAL && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == NORV && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == NORV && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == NORV && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == NORV && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == PORT && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == PORT && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == PORT && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == PORT && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == SUED && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == SUED && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == SUED && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == SUED && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == SUIS && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == SUIS && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == SUIS && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == SUIS && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == YOUG && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == YOUG && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == YOUG && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == YOUG && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == MAGH && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == MAGH && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == MAGH && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == MAGH && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == TURQ && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == TURQ && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == TURQ && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == TURQ && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ARGE && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ARGE && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ARGE && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ARGE && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == BRES && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == BRES && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == BRES && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == BRES && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == CHIL && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == CHIL && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == CHIL && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == CHIL && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == COLO && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == COLO && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == COLO && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == COLO && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == AFSU && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == AFSU && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == AFSU && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == AFSU && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == MARO && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == MARO && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == MARO && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == MARO && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ISRA && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ISRA && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ISRA && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == ISRA && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == HONG && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == HONG && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == HONG && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == HONG && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == TCHE && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == TCHE && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == TCHE && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == TCHE && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == POLO && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == POLO && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == POLO && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == POLO && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == SLVQ && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == SLVQ && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == SLVQ && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == SLVQ && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == JAPO && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == JAPO && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == JAPO && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == JAPO && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == TAIW && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == TAIW && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == TAIW && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == TAIW && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == AUST && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == AUST && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == AUST && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == AUST && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == URUG && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == URUG && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == URUG && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == URUG && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DAIB && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DAIB && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DAIB && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DAIB && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DAIC && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DAIC && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DAIC && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DAIC && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DAID && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DAID && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DAID && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DAID && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DAIF && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DAIF && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DAIF && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == DAIF && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == EUOR && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == EUOR && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == EUOR && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == EUOR && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == CETI && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == CETI && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == CETI && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var5 == CETI && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == FRAN && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == FRAN && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == FRAN && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == FRAN && var6 == DG && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == FRAN && var6 == DG && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == FRAN && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == FRAN && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == FRAN && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == FRAN && var6 == DD && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == FRAN && var6 == DD && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == FRAN && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DOTO && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DOTO && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DOTO && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DOTO && var6 == DG && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DOTO && var6 == DG && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DOTO && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DOTO && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DOTO && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DOTO && var6 == DD && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DOTO && var6 == DD && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DOTO && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ALLE && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ALLE && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ALLE && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ALLE && var6 == DG && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ALLE && var6 == DG && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ALLE && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ALLE && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ALLE && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ALLE && var6 == DD && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ALLE && var6 == DD && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ALLE && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == AUTR && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == AUTR && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == AUTR && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == AUTR && var6 == DG && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == AUTR && var6 == DG && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == AUTR && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == AUTR && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == AUTR && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == AUTR && var6 == DD && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == AUTR && var6 == DD && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == AUTR && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == BELG && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == BELG && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == BELG && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == BELG && var6 == DG && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == BELG && var6 == DG && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == BELG && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == BELG && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == BELG && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == BELG && var6 == DD && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == BELG && var6 == DD && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == BELG && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DANE && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DANE && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DANE && var6 == DG && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DANE && var6 == DG && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DANE && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DANE && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DANE && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DANE && var6 == DD && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DANE && var6 == DD && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DANE && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ESPA && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ESPA && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ESPA && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ESPA && var6 == DG && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ESPA && var6 == DG && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ESPA && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ESPA && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ESPA && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ESPA && var6 == DD && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ESPA && var6 == DD && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ESPA && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == FINL && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == FINL && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == FINL && var6 == DG && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == FINL && var6 == DG && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == FINL && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == FINL && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == FINL && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == FINL && var6 == DD && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == FINL && var6 == DD && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == FINL && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == GRBR && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == GRBR && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == GRBR && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == GRBR && var6 == DG && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == GRBR && var6 == DG && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == GRBR && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == GRBR && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == GRBR && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == GRBR && var6 == DD && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == GRBR && var6 == DD && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == GRBR && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == GREC && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == GREC && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == GREC && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == GREC && var6 == DG && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == GREC && var6 == DG && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == GREC && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == GREC && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == GREC && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == GREC && var6 == DD && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == GREC && var6 == DD && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == GREC && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == HOLL && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == HOLL && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == HOLL && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == HOLL && var6 == DG && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == HOLL && var6 == DG && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == HOLL && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == HOLL && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == HOLL && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == HOLL && var6 == DD && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == HOLL && var6 == DD && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == HOLL && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == IRLA && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == IRLA && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == IRLA && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == IRLA && var6 == DG && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == IRLA && var6 == DG && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == IRLA && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == IRLA && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == IRLA && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == IRLA && var6 == DD && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == IRLA && var6 == DD && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == IRLA && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ISLA && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ISLA && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ISLA && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ISLA && var6 == DG && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ISLA && var6 == DG && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ISLA && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ISLA && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ISLA && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ISLA && var6 == DD && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ISLA && var6 == DD && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ISLA && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ITAL && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ITAL && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ITAL && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ITAL && var6 == DG && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ITAL && var6 == DG && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ITAL && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ITAL && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ITAL && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ITAL && var6 == DD && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ITAL && var6 == DD && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ITAL && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == NORV && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == NORV && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == NORV && var6 == DG && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == NORV && var6 == DG && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == NORV && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == NORV && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == NORV && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == NORV && var6 == DD && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == NORV && var6 == DD && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == NORV && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == PORT && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == PORT && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == PORT && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == PORT && var6 == DG && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == PORT && var6 == DG && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == PORT && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == PORT && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == PORT && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == PORT && var6 == DD && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == PORT && var6 == DD && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == PORT && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == SUED && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == SUED && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == SUED && var6 == DG && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == SUED && var6 == DG && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == SUED && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == SUED && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == SUED && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == SUED && var6 == DD && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == SUED && var6 == DD && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == SUED && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == SUIS && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == SUIS && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == SUIS && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == SUIS && var6 == DG && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == SUIS && var6 == DG && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == SUIS && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == SUIS && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == SUIS && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == SUIS && var6 == DD && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == SUIS && var6 == DD && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == SUIS && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == YOUG && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == YOUG && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == YOUG && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == YOUG && var6 == DG && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == YOUG && var6 == DG && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == YOUG && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == YOUG && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == YOUG && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == YOUG && var6 == DD && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == YOUG && var6 == DD && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == YOUG && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == MAGH && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == MAGH && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == MAGH && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == MAGH && var6 == DG && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == MAGH && var6 == DG && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == MAGH && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == MAGH && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == MAGH && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == MAGH && var6 == DD && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == MAGH && var6 == DD && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == MAGH && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == TURQ && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == TURQ && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == TURQ && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == TURQ && var6 == DG && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == TURQ && var6 == DG && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == TURQ && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == TURQ && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == TURQ && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == TURQ && var6 == DD && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == TURQ && var6 == DD && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == TURQ && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ARGE && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ARGE && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ARGE && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ARGE && var6 == DG && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ARGE && var6 == DG && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ARGE && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ARGE && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ARGE && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ARGE && var6 == DD && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ARGE && var6 == DD && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ARGE && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == BRES && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == BRES && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == BRES && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == BRES && var6 == DG && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == BRES && var6 == DG && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == BRES && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == BRES && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == BRES && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == BRES && var6 == DD && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == BRES && var6 == DD && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == BRES && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == CHIL && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == CHIL && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == CHIL && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == CHIL && var6 == DG && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == CHIL && var6 == DG && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == CHIL && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == CHIL && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == CHIL && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == CHIL && var6 == DD && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == CHIL && var6 == DD && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == CHIL && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == COLO && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == COLO && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == COLO && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == COLO && var6 == DG && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == COLO && var6 == DG && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == COLO && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == COLO && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == COLO && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == COLO && var6 == DD && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == COLO && var6 == DD && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == COLO && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == AFSU && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == AFSU && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == AFSU && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == AFSU && var6 == DG && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == AFSU && var6 == DG && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == AFSU && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == AFSU && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == AFSU && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == AFSU && var6 == DD && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == AFSU && var6 == DD && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == AFSU && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == MARO && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == MARO && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == MARO && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == MARO && var6 == DG && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == MARO && var6 == DG && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == MARO && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == MARO && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == MARO && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == MARO && var6 == DD && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == MARO && var6 == DD && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == MARO && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ISRA && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ISRA && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ISRA && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ISRA && var6 == DG && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ISRA && var6 == DG && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ISRA && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ISRA && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ISRA && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ISRA && var6 == DD && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ISRA && var6 == DD && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == ISRA && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == HONG && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == HONG && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == HONG && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == HONG && var6 == DG && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == HONG && var6 == DG && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == HONG && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == HONG && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == HONG && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == HONG && var6 == DD && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == HONG && var6 == DD && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == HONG && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == TCHE && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == TCHE && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == TCHE && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == TCHE && var6 == DG && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == TCHE && var6 == DG && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == TCHE && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == TCHE && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == TCHE && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == TCHE && var6 == DD && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == TCHE && var6 == DD && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == TCHE && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == POLO && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == POLO && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == POLO && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == POLO && var6 == DG && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == POLO && var6 == DG && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == POLO && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == POLO && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == POLO && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == POLO && var6 == DD && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == POLO && var6 == DD && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == POLO && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == SLVQ && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == SLVQ && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == SLVQ && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == SLVQ && var6 == DG && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == SLVQ && var6 == DG && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == SLVQ && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == SLVQ && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == SLVQ && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == SLVQ && var6 == DD && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == SLVQ && var6 == DD && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == SLVQ && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == JAPO && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == JAPO && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == JAPO && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == JAPO && var6 == DG && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == JAPO && var6 == DG && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == JAPO && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == JAPO && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == JAPO && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == JAPO && var6 == DD && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == JAPO && var6 == DD && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == JAPO && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == TAIW && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == TAIW && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == TAIW && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == TAIW && var6 == DG && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == TAIW && var6 == DG && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == TAIW && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == TAIW && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == TAIW && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == TAIW && var6 == DD && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == TAIW && var6 == DD && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == TAIW && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == AUST && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == AUST && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == AUST && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == AUST && var6 == DG && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == AUST && var6 == DG && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == AUST && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == AUST && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == AUST && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == AUST && var6 == DD && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == AUST && var6 == DD && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == AUST && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == URUG && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == URUG && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == URUG && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == URUG && var6 == DG && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == URUG && var6 == DG && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == URUG && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == URUG && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == URUG && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == URUG && var6 == DD && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == URUG && var6 == DD && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == URUG && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAIB && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAIB && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAIB && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAIB && var6 == DG && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAIB && var6 == DG && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAIB && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAIB && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAIB && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAIB && var6 == DD && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAIB && var6 == DD && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAIB && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAIC && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAIC && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAIC && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAIC && var6 == DG && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAIC && var6 == DG && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAIC && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAIC && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAIC && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAIC && var6 == DD && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAIC && var6 == DD && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAIC && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAID && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAID && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAID && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAID && var6 == DG && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAID && var6 == DG && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAID && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAID && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAID && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAID && var6 == DD && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAID && var6 == DD && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAID && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAIF && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAIF && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAIF && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAIF && var6 == DG && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAIF && var6 == DG && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAIF && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAIF && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAIF && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAIF && var6 == DD && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAIF && var6 == DD && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == DAIF && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == EUOR && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == EUOR && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == EUOR && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == EUOR && var6 == DG && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == EUOR && var6 == DG && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == EUOR && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == EUOR && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == EUOR && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == EUOR && var6 == DD && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == EUOR && var6 == DD && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == EUOR && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == CETI && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == CETI && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == CETI && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == CETI && var6 == DG && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == CETI && var6 == DG && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == CETI && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == CETI && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == CETI && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == CETI && var6 == DD && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == CETI && var6 == DD && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var5 == CETI && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == FRAN && var6 == DG && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == FRAN && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == FRAN && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == FRAN && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == FRAN && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == FRAN && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == FRAN && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == FRAN && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == FRAN && var6 == DD && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == FRAN && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == FRAN && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == FRAN && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == FRAN && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == FRAN && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == FRAN && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == FRAN && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DOTO && var6 == DG && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DOTO && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DOTO && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DOTO && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == DOTO && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DOTO && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DOTO && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DOTO && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DOTO && var6 == DD && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DOTO && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DOTO && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DOTO && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == DOTO && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DOTO && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DOTO && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DOTO && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ALLE && var6 == DG && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ALLE && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ALLE && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ALLE && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == ALLE && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ALLE && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ALLE && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ALLE && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ALLE && var6 == DD && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ALLE && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ALLE && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ALLE && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == ALLE && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ALLE && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ALLE && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ALLE && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AUTR && var6 == DG && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AUTR && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == AUTR && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AUTR && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == AUTR && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AUTR && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AUTR && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == AUTR && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AUTR && var6 == DD && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AUTR && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == AUTR && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AUTR && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == AUTR && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AUTR && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AUTR && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == AUTR && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == BELG && var6 == DG && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == BELG && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == BELG && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == BELG && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == BELG && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == BELG && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == BELG && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == BELG && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == BELG && var6 == DD && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == BELG && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == BELG && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == BELG && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == BELG && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == BELG && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == BELG && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == BELG && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DANE && var6 == DG && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DANE && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DANE && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DANE && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == DANE && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DANE && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DANE && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DANE && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DANE && var6 == DD && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DANE && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DANE && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DANE && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == DANE && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DANE && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DANE && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DANE && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ESPA && var6 == DG && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ESPA && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ESPA && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ESPA && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == ESPA && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ESPA && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ESPA && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ESPA && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ESPA && var6 == DD && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ESPA && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ESPA && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ESPA && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == ESPA && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ESPA && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ESPA && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ESPA && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == FINL && var6 == DG && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == FINL && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == FINL && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == FINL && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == FINL && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == FINL && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == FINL && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == FINL && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == FINL && var6 == DD && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == FINL && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == FINL && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == FINL && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == FINL && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == FINL && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == FINL && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == FINL && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GRBR && var6 == DG && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GRBR && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == GRBR && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GRBR && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == GRBR && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GRBR && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GRBR && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == GRBR && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GRBR && var6 == DD && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GRBR && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == GRBR && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GRBR && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == GRBR && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GRBR && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GRBR && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == GRBR && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GREC && var6 == DG && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GREC && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == GREC && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GREC && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == GREC && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GREC && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GREC && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == GREC && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GREC && var6 == DD && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GREC && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == GREC && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GREC && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == GREC && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GREC && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == GREC && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == GREC && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HOLL && var6 == DG && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HOLL && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == HOLL && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HOLL && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == HOLL && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HOLL && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HOLL && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == HOLL && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HOLL && var6 == DD && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HOLL && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == HOLL && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HOLL && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == HOLL && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HOLL && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HOLL && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == HOLL && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == IRLA && var6 == DG && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == IRLA && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == IRLA && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == IRLA && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == IRLA && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == IRLA && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == IRLA && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == IRLA && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == IRLA && var6 == DD && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == IRLA && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == IRLA && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == IRLA && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == IRLA && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == IRLA && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == IRLA && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == IRLA && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ISLA && var6 == DG && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ISLA && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ISLA && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ISLA && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == ISLA && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ISLA && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ISLA && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ISLA && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ISLA && var6 == DD && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ISLA && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ISLA && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ISLA && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == ISLA && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ISLA && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ISLA && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ISLA && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ITAL && var6 == DG && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ITAL && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ITAL && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ITAL && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == ITAL && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ITAL && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ITAL && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ITAL && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ITAL && var6 == DD && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ITAL && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ITAL && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ITAL && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == ITAL && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ITAL && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ITAL && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ITAL && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == NORV && var6 == DG && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == NORV && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == NORV && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == NORV && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == NORV && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == NORV && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == NORV && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == NORV && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == NORV && var6 == DD && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == NORV && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == NORV && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == NORV && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == NORV && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == NORV && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == NORV && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == NORV && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == PORT && var6 == DG && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == PORT && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == PORT && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == PORT && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == PORT && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == PORT && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == PORT && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == PORT && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == PORT && var6 == DD && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == PORT && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == PORT && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == PORT && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == PORT && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == PORT && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == PORT && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == PORT && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SUED && var6 == DG && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SUED && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == SUED && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SUED && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == SUED && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SUED && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SUED && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == SUED && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SUED && var6 == DD && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SUED && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == SUED && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SUED && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == SUED && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SUED && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SUED && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == SUED && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SUIS && var6 == DG && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SUIS && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == SUIS && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SUIS && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == SUIS && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SUIS && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SUIS && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == SUIS && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SUIS && var6 == DD && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SUIS && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == SUIS && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SUIS && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == SUIS && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SUIS && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SUIS && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == SUIS && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == YOUG && var6 == DG && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == YOUG && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == YOUG && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == YOUG && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == YOUG && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == YOUG && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == YOUG && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == YOUG && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == YOUG && var6 == DD && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == YOUG && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == YOUG && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == YOUG && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == YOUG && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == YOUG && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == YOUG && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == YOUG && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == MAGH && var6 == DG && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == MAGH && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == MAGH && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == MAGH && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == MAGH && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == MAGH && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == MAGH && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == MAGH && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == MAGH && var6 == DD && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == MAGH && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == MAGH && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == MAGH && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == MAGH && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == MAGH && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == MAGH && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == MAGH && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TURQ && var6 == DG && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TURQ && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == TURQ && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TURQ && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == TURQ && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TURQ && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TURQ && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == TURQ && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TURQ && var6 == DD && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TURQ && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == TURQ && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TURQ && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == TURQ && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TURQ && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TURQ && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == TURQ && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ARGE && var6 == DG && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ARGE && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ARGE && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ARGE && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == ARGE && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ARGE && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ARGE && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ARGE && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ARGE && var6 == DD && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ARGE && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ARGE && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ARGE && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == ARGE && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ARGE && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ARGE && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ARGE && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == BRES && var6 == DG && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == BRES && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == BRES && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == BRES && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == BRES && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == BRES && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == BRES && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == BRES && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == BRES && var6 == DD && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == BRES && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == BRES && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == BRES && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == BRES && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == BRES && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == BRES && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == BRES && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == CHIL && var6 == DG && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == CHIL && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == CHIL && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == CHIL && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == CHIL && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == CHIL && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == CHIL && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == CHIL && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == CHIL && var6 == DD && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == CHIL && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == CHIL && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == CHIL && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == CHIL && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == CHIL && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == CHIL && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == CHIL && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == COLO && var6 == DG && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == COLO && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == COLO && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == COLO && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == COLO && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == COLO && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == COLO && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == COLO && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == COLO && var6 == DD && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == COLO && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == COLO && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == COLO && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == COLO && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == COLO && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == COLO && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == COLO && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AFSU && var6 == DG && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AFSU && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == AFSU && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AFSU && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == AFSU && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AFSU && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AFSU && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == AFSU && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AFSU && var6 == DD && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AFSU && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == AFSU && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AFSU && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == AFSU && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AFSU && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AFSU && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == AFSU && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == MARO && var6 == DG && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == MARO && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == MARO && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == MARO && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == MARO && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == MARO && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == MARO && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == MARO && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == MARO && var6 == DD && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == MARO && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == MARO && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == MARO && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == MARO && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == MARO && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == MARO && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == MARO && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ISRA && var6 == DG && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ISRA && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ISRA && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ISRA && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == ISRA && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ISRA && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ISRA && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ISRA && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ISRA && var6 == DD && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ISRA && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ISRA && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ISRA && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == ISRA && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ISRA && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == ISRA && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == ISRA && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HONG && var6 == DG && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HONG && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == HONG && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HONG && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == HONG && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HONG && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HONG && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == HONG && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HONG && var6 == DD && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HONG && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == HONG && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HONG && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == HONG && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HONG && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == HONG && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == HONG && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TCHE && var6 == DG && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TCHE && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == TCHE && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TCHE && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == TCHE && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TCHE && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TCHE && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == TCHE && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TCHE && var6 == DD && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TCHE && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == TCHE && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TCHE && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == TCHE && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TCHE && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TCHE && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == TCHE && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == POLO && var6 == DG && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == POLO && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == POLO && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == POLO && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == POLO && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == POLO && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == POLO && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == POLO && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == POLO && var6 == DD && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == POLO && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == POLO && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == POLO && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == POLO && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == POLO && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == POLO && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == POLO && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SLVQ && var6 == DG && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SLVQ && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == SLVQ && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SLVQ && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == SLVQ && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SLVQ && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SLVQ && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == SLVQ && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SLVQ && var6 == DD && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SLVQ && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == SLVQ && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SLVQ && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == SLVQ && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SLVQ && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == SLVQ && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == SLVQ && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == JAPO && var6 == DG && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == JAPO && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == JAPO && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == JAPO && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == JAPO && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == JAPO && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == JAPO && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == JAPO && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == JAPO && var6 == DD && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == JAPO && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == JAPO && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == JAPO && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == JAPO && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == JAPO && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == JAPO && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == JAPO && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TAIW && var6 == DG && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TAIW && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == TAIW && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TAIW && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == TAIW && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TAIW && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TAIW && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == TAIW && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TAIW && var6 == DD && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TAIW && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == TAIW && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TAIW && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == TAIW && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TAIW && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == TAIW && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == TAIW && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AUST && var6 == DG && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AUST && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == AUST && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AUST && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == AUST && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AUST && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AUST && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == AUST && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AUST && var6 == DD && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AUST && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == AUST && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AUST && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == AUST && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AUST && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == AUST && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == AUST && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == URUG && var6 == DG && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == URUG && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == URUG && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == URUG && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == URUG && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == URUG && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == URUG && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == URUG && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == URUG && var6 == DD && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == URUG && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == URUG && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == URUG && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == URUG && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == URUG && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == URUG && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == URUG && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIB && var6 == DG && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIB && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DAIB && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIB && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == DAIB && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIB && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIB && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DAIB && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIB && var6 == DD && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIB && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DAIB && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIB && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == DAIB && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIB && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIB && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DAIB && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIC && var6 == DG && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIC && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DAIC && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIC && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == DAIC && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIC && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIC && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DAIC && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIC && var6 == DD && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIC && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DAIC && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIC && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == DAIC && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIC && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIC && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DAIC && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAID && var6 == DG && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAID && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DAID && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAID && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == DAID && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAID && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAID && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DAID && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAID && var6 == DD && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAID && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DAID && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAID && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == DAID && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAID && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAID && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DAID && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIF && var6 == DG && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIF && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DAIF && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIF && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == DAIF && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIF && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIF && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DAIF && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIF && var6 == DD && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIF && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DAIF && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIF && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == DAIF && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIF && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == DAIF && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == DAIF && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == EUOR && var6 == DG && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == EUOR && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == EUOR && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == EUOR && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == EUOR && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == EUOR && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == EUOR && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == EUOR && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == EUOR && var6 == DD && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == EUOR && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == EUOR && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == EUOR && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == EUOR && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == EUOR && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == EUOR && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == EUOR && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == CETI && var6 == DG && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == CETI && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == CETI && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == CETI && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == CETI && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == CETI && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == CETI && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == CETI && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == CETI && var6 == DD && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == CETI && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == CETI && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == CETI && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var5 == CETI && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == CETI && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var5 == CETI && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var5 == CETI && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == FRAN && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == FRAN && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == FRAN && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == FRAN && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DOTO && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DOTO && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DOTO && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DOTO && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ALLE && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ALLE && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ALLE && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ALLE && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == AUTR && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == AUTR && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == AUTR && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == AUTR && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == BELG && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == BELG && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == BELG && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == BELG && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DANE && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DANE && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DANE && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DANE && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ESPA && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ESPA && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ESPA && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ESPA && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == FINL && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == FINL && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == FINL && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == FINL && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == GRBR && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == GRBR && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == GRBR && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == GRBR && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == GREC && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == GREC && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == GREC && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == GREC && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == HOLL && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == HOLL && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == HOLL && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == HOLL && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == IRLA && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == IRLA && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == IRLA && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == IRLA && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ISLA && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ISLA && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ISLA && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ISLA && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ITAL && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ITAL && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ITAL && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ITAL && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == NORV && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == NORV && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == NORV && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == NORV && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == PORT && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == PORT && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == PORT && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == PORT && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == SUED && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == SUED && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == SUED && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == SUED && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == SUIS && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == SUIS && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == SUIS && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == SUIS && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == YOUG && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == YOUG && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == YOUG && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == YOUG && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == MAGH && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == MAGH && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == MAGH && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == MAGH && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == TURQ && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == TURQ && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == TURQ && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == TURQ && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ARGE && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ARGE && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ARGE && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ARGE && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == BRES && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == BRES && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == BRES && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == BRES && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == CHIL && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == CHIL && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == CHIL && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == CHIL && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == COLO && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == COLO && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == COLO && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == COLO && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == AFSU && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == AFSU && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == AFSU && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == AFSU && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == MARO && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == MARO && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == MARO && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == MARO && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ISRA && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ISRA && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ISRA && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == ISRA && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == HONG && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == HONG && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == HONG && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == HONG && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == TCHE && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == TCHE && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == TCHE && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == TCHE && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == POLO && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == POLO && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == POLO && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == POLO && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == SLVQ && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == SLVQ && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == SLVQ && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == SLVQ && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == JAPO && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == JAPO && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == JAPO && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == JAPO && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == TAIW && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == TAIW && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == TAIW && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == TAIW && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == AUST && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == AUST && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == AUST && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == AUST && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == URUG && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == URUG && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == URUG && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == URUG && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DAIB && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DAIB && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DAIB && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DAIB && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DAIC && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DAIC && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DAIC && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DAIC && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DAID && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DAID && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DAID && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DAID && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DAIF && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DAIF && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DAIF && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == DAIF && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == EUOR && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == EUOR && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == EUOR && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == EUOR && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == CETI && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == CETI && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == CETI && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var5 == CETI && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == FRAN && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == FRAN && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == DOTO && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == DOTO && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == ALLE && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == ALLE && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == AUTR && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == AUTR && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == BELG && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == BELG && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == DANE && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == DANE && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == ESPA && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == ESPA && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == FINL && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == FINL && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == GRBR && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == GRBR && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == GREC && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == GREC && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == HOLL && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == HOLL && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == IRLA && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == IRLA && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == ISLA && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == ISLA && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == ITAL && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == ITAL && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == NORV && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == NORV && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == PORT && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == PORT && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == SUED && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == SUED && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == SUIS && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == SUIS && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == YOUG && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == YOUG && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == MAGH && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == MAGH && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == TURQ && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == TURQ && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == ARGE && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == ARGE && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == BRES && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == BRES && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == CHIL && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == CHIL && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == COLO && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == COLO && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == AFSU && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == AFSU && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == MARO && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == MARO && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == ISRA && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == ISRA && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == HONG && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == HONG && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == TCHE && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == TCHE && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == POLO && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == POLO && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == SLVQ && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == SLVQ && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == JAPO && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == JAPO && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == TAIW && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == TAIW && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == AUST && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == AUST && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == URUG && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == URUG && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == DAIB && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == DAIB && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == DAIC && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == DAIC && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == DAID && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == DAID && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == DAIF && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == DAIF && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == EUOR && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == EUOR && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == CETI && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var5 == CETI && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == FRAN && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == FRAN && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == DOTO && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == DOTO && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == ALLE && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == ALLE && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == AUTR && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == AUTR && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == BELG && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == BELG && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == DANE && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == DANE && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == ESPA && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == ESPA && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == FINL && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == FINL && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == GRBR && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == GRBR && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == GREC && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == GREC && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == HOLL && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == HOLL && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == IRLA && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == IRLA && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == ISLA && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == ISLA && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == ITAL && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == ITAL && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == NORV && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == NORV && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == PORT && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == PORT && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == SUED && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == SUED && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == SUIS && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == SUIS && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == YOUG && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == YOUG && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == MAGH && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == MAGH && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == TURQ && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == TURQ && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == ARGE && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == ARGE && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == BRES && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == BRES && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == CHIL && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == CHIL && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == COLO && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == COLO && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == AFSU && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == AFSU && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == MARO && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == MARO && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == ISRA && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == ISRA && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == HONG && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == HONG && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == TCHE && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == TCHE && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == POLO && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == POLO && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == SLVQ && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == SLVQ && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == JAPO && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == JAPO && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == TAIW && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == TAIW && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == AUST && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == AUST && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == URUG && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == URUG && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == DAIB && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == DAIB && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == DAIC && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == DAIC && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == DAID && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == DAID && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == DAIF && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == DAIF && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == EUOR && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == EUOR && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == CETI && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var5 == CETI && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == FRAN && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == FRAN && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == FRAN && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == FRAN && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DOTO && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DOTO && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DOTO && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DOTO && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ALLE && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ALLE && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ALLE && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ALLE && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == AUTR && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == AUTR && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == AUTR && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == AUTR && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == BELG && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == BELG && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == BELG && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == BELG && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DANE && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DANE && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DANE && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DANE && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ESPA && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ESPA && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ESPA && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ESPA && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == FINL && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == FINL && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == FINL && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == FINL && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == GRBR && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == GRBR && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == GRBR && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == GRBR && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == GREC && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == GREC && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == GREC && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == GREC && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == HOLL && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == HOLL && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == HOLL && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == HOLL && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == IRLA && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == IRLA && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == IRLA && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == IRLA && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ISLA && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ISLA && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ISLA && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ISLA && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ITAL && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ITAL && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ITAL && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ITAL && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == NORV && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == NORV && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == NORV && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == NORV && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == PORT && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == PORT && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == PORT && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == PORT && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == SUED && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == SUED && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == SUED && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == SUED && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == SUIS && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == SUIS && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == SUIS && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == SUIS && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == YOUG && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == YOUG && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == YOUG && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == YOUG && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == MAGH && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == MAGH && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == MAGH && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == MAGH && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == TURQ && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == TURQ && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == TURQ && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == TURQ && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ARGE && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ARGE && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ARGE && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ARGE && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == BRES && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == BRES && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == BRES && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == BRES && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == CHIL && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == CHIL && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == CHIL && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == CHIL && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == COLO && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == COLO && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == COLO && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == COLO && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == AFSU && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == AFSU && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == AFSU && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == AFSU && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == MARO && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == MARO && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == MARO && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == MARO && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ISRA && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ISRA && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ISRA && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == ISRA && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == HONG && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == HONG && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == HONG && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == HONG && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == TCHE && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == TCHE && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == TCHE && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == TCHE && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == POLO && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == POLO && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == POLO && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == POLO && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == SLVQ && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == SLVQ && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == SLVQ && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == SLVQ && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == JAPO && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == JAPO && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == JAPO && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == JAPO && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == TAIW && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == TAIW && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == TAIW && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == TAIW && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == AUST && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == AUST && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == AUST && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == AUST && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == URUG && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == URUG && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == URUG && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == URUG && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DAIB && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DAIB && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DAIB && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DAIB && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DAIC && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DAIC && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DAIC && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DAIC && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DAID && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DAID && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DAID && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DAID && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DAIF && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DAIF && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DAIF && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == DAIF && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == EUOR && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == EUOR && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == EUOR && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == EUOR && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == CETI && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == CETI && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == CETI && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var5 == CETI && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == FRAN && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == FRAN && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == FRAN && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == FRAN && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == FRAN && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == FRAN && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DOTO && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DOTO && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DOTO && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DOTO && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DOTO && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DOTO && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ALLE && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ALLE && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ALLE && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ALLE && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ALLE && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ALLE && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == AUTR && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == AUTR && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == AUTR && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == AUTR && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == AUTR && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == AUTR && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == BELG && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == BELG && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == BELG && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == BELG && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == BELG && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == BELG && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DANE && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DANE && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DANE && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DANE && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DANE && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DANE && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ESPA && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ESPA && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ESPA && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ESPA && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ESPA && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ESPA && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == FINL && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == FINL && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == FINL && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == FINL && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == FINL && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == FINL && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == GRBR && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == GRBR && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == GRBR && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == GRBR && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == GRBR && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == GRBR && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == GREC && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == GREC && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == GREC && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == GREC && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == GREC && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == GREC && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == HOLL && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == HOLL && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == HOLL && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == HOLL && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == HOLL && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == HOLL && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == IRLA && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == IRLA && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == IRLA && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == IRLA && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == IRLA && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == IRLA && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ISLA && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ISLA && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ISLA && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ISLA && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ISLA && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ISLA && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ITAL && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ITAL && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ITAL && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ITAL && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ITAL && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ITAL && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == NORV && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == NORV && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == NORV && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == NORV && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == NORV && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == NORV && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == PORT && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == PORT && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == PORT && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == PORT && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == PORT && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == PORT && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == SUED && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == SUED && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == SUED && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == SUED && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == SUED && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == SUED && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == SUIS && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == SUIS && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == SUIS && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == SUIS && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == SUIS && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == SUIS && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == YOUG && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == YOUG && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == YOUG && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == YOUG && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == YOUG && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == YOUG && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == MAGH && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == MAGH && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == MAGH && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == MAGH && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == MAGH && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == MAGH && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == TURQ && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == TURQ && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == TURQ && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == TURQ && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == TURQ && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == TURQ && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ARGE && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ARGE && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ARGE && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ARGE && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ARGE && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ARGE && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == BRES && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == BRES && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == BRES && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == BRES && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == BRES && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == BRES && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == CHIL && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == CHIL && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == CHIL && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == CHIL && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == CHIL && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == CHIL && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == COLO && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == COLO && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == COLO && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == COLO && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == COLO && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == COLO && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == AFSU && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == AFSU && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == AFSU && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == AFSU && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == AFSU && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == AFSU && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == MARO && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == MARO && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == MARO && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == MARO && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == MARO && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == MARO && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ISRA && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ISRA && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ISRA && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ISRA && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ISRA && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == ISRA && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == HONG && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == HONG && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == HONG && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == HONG && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == HONG && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == HONG && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == TCHE && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == TCHE && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == TCHE && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == TCHE && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == TCHE && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == TCHE && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == POLO && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == POLO && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == POLO && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == POLO && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == POLO && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == POLO && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == SLVQ && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == SLVQ && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == SLVQ && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == SLVQ && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == SLVQ && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == SLVQ && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == JAPO && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == JAPO && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == JAPO && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == JAPO && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == JAPO && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == JAPO && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == TAIW && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == TAIW && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == TAIW && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == TAIW && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == TAIW && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == TAIW && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == AUST && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == AUST && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == AUST && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == AUST && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == AUST && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == AUST && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == URUG && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == URUG && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == URUG && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == URUG && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == URUG && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == URUG && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DAIB && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DAIB && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DAIB && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DAIB && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DAIB && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DAIB && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DAIC && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DAIC && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DAIC && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DAIC && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DAIC && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DAIC && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DAID && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DAID && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DAID && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DAID && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DAID && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DAID && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DAIF && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DAIF && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DAIF && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DAIF && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DAIF && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == DAIF && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == EUOR && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == EUOR && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == EUOR && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == EUOR && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == EUOR && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == EUOR && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == CETI && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == CETI && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == CETI && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == CETI && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == CETI && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var5 == CETI && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FRAN && var6 == DG && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FRAN && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FRAN && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FRAN && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FRAN && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FRAN && var6 == DD && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FRAN && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FRAN && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FRAN && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FRAN && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DOTO && var6 == DG && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DOTO && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DOTO && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DOTO && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DOTO && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DOTO && var6 == DD && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DOTO && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DOTO && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DOTO && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DOTO && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ALLE && var6 == DG && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ALLE && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ALLE && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ALLE && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ALLE && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ALLE && var6 == DD && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ALLE && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ALLE && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ALLE && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ALLE && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AUTR && var6 == DG && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AUTR && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AUTR && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AUTR && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AUTR && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AUTR && var6 == DD && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AUTR && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AUTR && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AUTR && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AUTR && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == BELG && var6 == DG && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == BELG && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == BELG && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == BELG && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == BELG && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == BELG && var6 == DD && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == BELG && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == BELG && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == BELG && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == BELG && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DANE && var6 == DG && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DANE && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DANE && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DANE && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DANE && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DANE && var6 == DD && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DANE && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DANE && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DANE && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DANE && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ESPA && var6 == DG && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ESPA && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ESPA && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ESPA && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ESPA && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ESPA && var6 == DD && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ESPA && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ESPA && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ESPA && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ESPA && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FINL && var6 == DG && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FINL && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FINL && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FINL && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FINL && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FINL && var6 == DD && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FINL && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FINL && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FINL && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == FINL && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == GRBR && var6 == DG && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == GRBR && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == GRBR && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == GRBR && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == GRBR && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == GRBR && var6 == DD && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == GRBR && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == GRBR && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == GRBR && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == GRBR && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == GREC && var6 == DG && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == GREC && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == GREC && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == GREC && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == GREC && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == GREC && var6 == DD && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == GREC && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == GREC && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == GREC && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == GREC && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == HOLL && var6 == DG && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == HOLL && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == HOLL && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == HOLL && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == HOLL && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == HOLL && var6 == DD && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == HOLL && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == HOLL && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == HOLL && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == HOLL && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == IRLA && var6 == DG && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == IRLA && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == IRLA && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == IRLA && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == IRLA && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == IRLA && var6 == DD && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == IRLA && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == IRLA && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == IRLA && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == IRLA && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ISLA && var6 == DG && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ISLA && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ISLA && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ISLA && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ISLA && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ISLA && var6 == DD && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ISLA && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ISLA && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ISLA && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ISLA && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ITAL && var6 == DG && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ITAL && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ITAL && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ITAL && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ITAL && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ITAL && var6 == DD && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ITAL && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ITAL && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ITAL && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ITAL && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == NORV && var6 == DG && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == NORV && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == NORV && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == NORV && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == NORV && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == NORV && var6 == DD && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == NORV && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == NORV && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == NORV && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == NORV && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == PORT && var6 == DG && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == PORT && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == PORT && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == PORT && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == PORT && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == PORT && var6 == DD && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == PORT && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == PORT && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == PORT && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == PORT && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SUED && var6 == DG && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SUED && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SUED && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SUED && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SUED && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SUED && var6 == DD && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SUED && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SUED && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SUED && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SUED && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SUIS && var6 == DG && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SUIS && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SUIS && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SUIS && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SUIS && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SUIS && var6 == DD && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SUIS && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SUIS && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SUIS && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SUIS && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == YOUG && var6 == DG && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == YOUG && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == YOUG && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == YOUG && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == YOUG && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == YOUG && var6 == DD && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == YOUG && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == YOUG && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == YOUG && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == YOUG && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == MAGH && var6 == DG && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == MAGH && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == MAGH && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == MAGH && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == MAGH && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == MAGH && var6 == DD && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == MAGH && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == MAGH && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == MAGH && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == MAGH && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TURQ && var6 == DG && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TURQ && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TURQ && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TURQ && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TURQ && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TURQ && var6 == DD && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TURQ && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TURQ && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TURQ && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TURQ && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ARGE && var6 == DG && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ARGE && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ARGE && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ARGE && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ARGE && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ARGE && var6 == DD && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ARGE && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ARGE && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ARGE && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ARGE && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == BRES && var6 == DG && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == BRES && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == BRES && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == BRES && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == BRES && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == BRES && var6 == DD && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == BRES && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == BRES && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == BRES && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == BRES && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == CHIL && var6 == DG && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == CHIL && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == CHIL && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == CHIL && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == CHIL && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == CHIL && var6 == DD && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == CHIL && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == CHIL && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == CHIL && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == CHIL && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == COLO && var6 == DG && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == COLO && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == COLO && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == COLO && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == COLO && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == COLO && var6 == DD && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == COLO && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == COLO && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == COLO && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == COLO && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AFSU && var6 == DG && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AFSU && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AFSU && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AFSU && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AFSU && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AFSU && var6 == DD && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AFSU && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AFSU && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AFSU && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AFSU && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == MARO && var6 == DG && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == MARO && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == MARO && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == MARO && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == MARO && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == MARO && var6 == DD && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == MARO && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == MARO && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == MARO && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == MARO && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ISRA && var6 == DG && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ISRA && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ISRA && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ISRA && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ISRA && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ISRA && var6 == DD && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ISRA && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ISRA && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ISRA && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == ISRA && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == HONG && var6 == DG && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == HONG && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == HONG && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == HONG && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == HONG && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == HONG && var6 == DD && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == HONG && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == HONG && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == HONG && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == HONG && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TCHE && var6 == DG && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TCHE && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TCHE && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TCHE && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TCHE && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TCHE && var6 == DD && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TCHE && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TCHE && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TCHE && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TCHE && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == POLO && var6 == DG && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == POLO && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == POLO && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == POLO && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == POLO && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == POLO && var6 == DD && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == POLO && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == POLO && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == POLO && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == POLO && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SLVQ && var6 == DG && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SLVQ && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SLVQ && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SLVQ && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SLVQ && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SLVQ && var6 == DD && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SLVQ && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SLVQ && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SLVQ && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == SLVQ && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == JAPO && var6 == DG && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == JAPO && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == JAPO && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == JAPO && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == JAPO && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == JAPO && var6 == DD && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == JAPO && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == JAPO && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == JAPO && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == JAPO && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TAIW && var6 == DG && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TAIW && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TAIW && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TAIW && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TAIW && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TAIW && var6 == DD && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TAIW && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TAIW && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TAIW && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == TAIW && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AUST && var6 == DG && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AUST && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AUST && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AUST && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AUST && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AUST && var6 == DD && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AUST && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AUST && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AUST && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == AUST && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == URUG && var6 == DG && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == URUG && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == URUG && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == URUG && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == URUG && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == URUG && var6 == DD && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == URUG && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == URUG && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == URUG && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == URUG && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIB && var6 == DG && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIB && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIB && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIB && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIB && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIB && var6 == DD && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIB && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIB && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIB && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIB && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIC && var6 == DG && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIC && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIC && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIC && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIC && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIC && var6 == DD && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIC && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIC && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIC && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIC && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAID && var6 == DG && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAID && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAID && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAID && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAID && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAID && var6 == DD && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAID && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAID && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAID && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAID && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIF && var6 == DG && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIF && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIF && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIF && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIF && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIF && var6 == DD && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIF && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIF && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIF && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == DAIF && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == EUOR && var6 == DG && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == EUOR && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == EUOR && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == EUOR && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == EUOR && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == EUOR && var6 == DD && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == EUOR && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == EUOR && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == EUOR && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == EUOR && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == CETI && var6 == DG && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == CETI && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == CETI && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == CETI && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == CETI && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == CETI && var6 == DD && var19 == CPE && var89 == EVA && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == CETI && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == CETI && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == CETI && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == TKO && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var5 == CETI && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == FRAN && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == FRAN && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == FRAN && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == FRAN && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DOTO && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DOTO && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DOTO && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DOTO && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ALLE && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ALLE && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ALLE && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ALLE && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == AUTR && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == AUTR && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == AUTR && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == AUTR && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == BELG && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == BELG && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == BELG && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == BELG && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DANE && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DANE && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DANE && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DANE && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ESPA && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ESPA && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ESPA && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ESPA && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == FINL && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == FINL && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == FINL && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == FINL && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == GRBR && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == GRBR && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == GRBR && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == GRBR && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == GREC && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == GREC && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == GREC && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == GREC && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == HOLL && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == HOLL && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == HOLL && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == HOLL && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == IRLA && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == IRLA && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == IRLA && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == IRLA && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ISLA && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ISLA && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ISLA && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ISLA && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ITAL && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ITAL && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ITAL && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ITAL && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == NORV && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == NORV && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == NORV && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == NORV && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == PORT && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == PORT && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == PORT && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == PORT && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == SUED && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == SUED && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == SUED && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == SUED && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == SUIS && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == SUIS && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == SUIS && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == SUIS && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == YOUG && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == YOUG && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == YOUG && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == YOUG && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == MAGH && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == MAGH && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == MAGH && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == MAGH && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == TURQ && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == TURQ && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == TURQ && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == TURQ && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ARGE && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ARGE && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ARGE && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ARGE && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == BRES && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == BRES && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == BRES && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == BRES && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == CHIL && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == CHIL && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == CHIL && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == CHIL && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == COLO && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == COLO && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == COLO && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == COLO && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == AFSU && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == AFSU && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == AFSU && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == AFSU && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == MARO && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == MARO && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == MARO && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == MARO && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ISRA && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ISRA && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ISRA && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == ISRA && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == HONG && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == HONG && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == HONG && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == HONG && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == TCHE && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == TCHE && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == TCHE && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == TCHE && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == POLO && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == POLO && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == POLO && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == POLO && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == SLVQ && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == SLVQ && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == SLVQ && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == SLVQ && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == JAPO && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == JAPO && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == JAPO && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == JAPO && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == TAIW && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == TAIW && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == TAIW && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == TAIW && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == AUST && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == AUST && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == AUST && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == AUST && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == URUG && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == URUG && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == URUG && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == URUG && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DAIB && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DAIB && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DAIB && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DAIB && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DAIC && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DAIC && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DAIC && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DAIC && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DAID && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DAID && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DAID && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DAID && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DAIF && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DAIF && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DAIF && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == DAIF && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == EUOR && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == EUOR && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == EUOR && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == EUOR && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == CETI && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == CETI && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == CETI && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var5 == CETI && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == FRAN && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == FRAN && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == FRAN && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == FRAN && var6 == DG && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == FRAN && var6 == DG && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == FRAN && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == FRAN && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == FRAN && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == FRAN && var6 == DD && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == FRAN && var6 == DD && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == FRAN && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DOTO && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DOTO && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DOTO && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DOTO && var6 == DG && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DOTO && var6 == DG && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DOTO && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DOTO && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DOTO && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DOTO && var6 == DD && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DOTO && var6 == DD && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DOTO && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ALLE && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ALLE && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ALLE && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ALLE && var6 == DG && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ALLE && var6 == DG && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ALLE && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ALLE && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ALLE && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ALLE && var6 == DD && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ALLE && var6 == DD && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ALLE && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == AUTR && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == AUTR && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == AUTR && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == AUTR && var6 == DG && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == AUTR && var6 == DG && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == AUTR && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == AUTR && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == AUTR && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == AUTR && var6 == DD && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == AUTR && var6 == DD && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == AUTR && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == BELG && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == BELG && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == BELG && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == BELG && var6 == DG && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == BELG && var6 == DG && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == BELG && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == BELG && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == BELG && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == BELG && var6 == DD && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == BELG && var6 == DD && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == BELG && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DANE && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DANE && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DANE && var6 == DG && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DANE && var6 == DG && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DANE && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DANE && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DANE && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DANE && var6 == DD && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DANE && var6 == DD && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DANE && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ESPA && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ESPA && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) ) || ( ( var1 == B64 && var2 == E1 && var5 == ESPA && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ESPA && var6 == DG && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ESPA && var6 == DG && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ESPA && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ESPA && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ESPA && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ESPA && var6 == DD && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ESPA && var6 == DD && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ESPA && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == FINL && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == FINL && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == FINL && var6 == DG && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == FINL && var6 == DG && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == FINL && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == FINL && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == FINL && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == FINL && var6 == DD && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == FINL && var6 == DD && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == FINL && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == GRBR && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == GRBR && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == GRBR && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == GRBR && var6 == DG && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == GRBR && var6 == DG && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == GRBR && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == GRBR && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == GRBR && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == GRBR && var6 == DD && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == GRBR && var6 == DD && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == GRBR && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == GREC && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == GREC && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == GREC && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == GREC && var6 == DG && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == GREC && var6 == DG && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == GREC && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == GREC && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == GREC && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == GREC && var6 == DD && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == GREC && var6 == DD && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == GREC && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == HOLL && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == HOLL && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == HOLL && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == HOLL && var6 == DG && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == HOLL && var6 == DG && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == HOLL && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == HOLL && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == HOLL && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == HOLL && var6 == DD && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == HOLL && var6 == DD && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == HOLL && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == IRLA && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == IRLA && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == IRLA && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == IRLA && var6 == DG && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == IRLA && var6 == DG && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == IRLA && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == IRLA && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == IRLA && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == IRLA && var6 == DD && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == IRLA && var6 == DD && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == IRLA && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ISLA && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ISLA && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ISLA && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ISLA && var6 == DG && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ISLA && var6 == DG && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ISLA && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ISLA && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ISLA && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ISLA && var6 == DD && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ISLA && var6 == DD && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ISLA && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ITAL && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ITAL && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ITAL && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ITAL && var6 == DG && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ITAL && var6 == DG && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ITAL && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ITAL && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ITAL && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ITAL && var6 == DD && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ITAL && var6 == DD && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ITAL && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == NORV && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == NORV && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == NORV && var6 == DG && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == NORV && var6 == DG && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == NORV && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == NORV && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == NORV && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == NORV && var6 == DD && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == NORV && var6 == DD && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == NORV && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == PORT && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == PORT && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == PORT && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == PORT && var6 == DG && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == PORT && var6 == DG && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == PORT && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == PORT && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == PORT && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == PORT && var6 == DD && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == PORT && var6 == DD && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == PORT && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == SUED && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == SUED && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == SUED && var6 == DG && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == SUED && var6 == DG && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == SUED && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == SUED && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == SUED && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == SUED && var6 == DD && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == SUED && var6 == DD && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == SUED && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == SUIS && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == SUIS && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == SUIS && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == SUIS && var6 == DG && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == SUIS && var6 == DG && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == SUIS && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == SUIS && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == SUIS && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == SUIS && var6 == DD && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == SUIS && var6 == DD && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == SUIS && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == YOUG && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == YOUG && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == YOUG && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == YOUG && var6 == DG && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == YOUG && var6 == DG && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == YOUG && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == YOUG && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == YOUG && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == YOUG && var6 == DD && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == YOUG && var6 == DD && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == YOUG && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == MAGH && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == MAGH && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == MAGH && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == MAGH && var6 == DG && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == MAGH && var6 == DG && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == MAGH && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == MAGH && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == MAGH && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == MAGH && var6 == DD && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == MAGH && var6 == DD && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == MAGH && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == TURQ && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == TURQ && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == TURQ && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == TURQ && var6 == DG && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == TURQ && var6 == DG && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == TURQ && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == TURQ && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == TURQ && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == TURQ && var6 == DD && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == TURQ && var6 == DD && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == TURQ && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ARGE && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ARGE && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ARGE && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ARGE && var6 == DG && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ARGE && var6 == DG && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ARGE && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ARGE && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ARGE && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ARGE && var6 == DD && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ARGE && var6 == DD && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ARGE && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == BRES && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == BRES && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == BRES && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == BRES && var6 == DG && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == BRES && var6 == DG && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == BRES && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == BRES && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == BRES && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == BRES && var6 == DD && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == BRES && var6 == DD && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == BRES && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == CHIL && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == CHIL && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == CHIL && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == CHIL && var6 == DG && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == CHIL && var6 == DG && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == CHIL && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == CHIL && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == CHIL && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == CHIL && var6 == DD && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == CHIL && var6 == DD && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == CHIL && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == COLO && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == COLO && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == COLO && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == COLO && var6 == DG && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == COLO && var6 == DG && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == COLO && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == COLO && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == COLO && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == COLO && var6 == DD && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == COLO && var6 == DD && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == COLO && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == AFSU && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == AFSU && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == AFSU && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == AFSU && var6 == DG && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == AFSU && var6 == DG && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == AFSU && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == AFSU && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == AFSU && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == AFSU && var6 == DD && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == AFSU && var6 == DD && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == AFSU && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == MARO && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == MARO && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == MARO && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == MARO && var6 == DG && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == MARO && var6 == DG && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == MARO && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == MARO && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == MARO && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == MARO && var6 == DD && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == MARO && var6 == DD && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == MARO && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ISRA && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ISRA && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ISRA && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ISRA && var6 == DG && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ISRA && var6 == DG && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ISRA && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ISRA && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ISRA && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ISRA && var6 == DD && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ISRA && var6 == DD && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == ISRA && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == HONG && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == HONG && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == HONG && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == HONG && var6 == DG && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == HONG && var6 == DG && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == HONG && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == HONG && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == HONG && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == HONG && var6 == DD && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == HONG && var6 == DD && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == HONG && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == TCHE && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == TCHE && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == TCHE && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == TCHE && var6 == DG && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == TCHE && var6 == DG && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == TCHE && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == TCHE && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == TCHE && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == TCHE && var6 == DD && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == TCHE && var6 == DD && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == TCHE && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == POLO && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == POLO && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == POLO && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == POLO && var6 == DG && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == POLO && var6 == DG && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == POLO && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == POLO && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == POLO && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == POLO && var6 == DD && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == POLO && var6 == DD && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == POLO && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == SLVQ && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == SLVQ && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == SLVQ && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == SLVQ && var6 == DG && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == SLVQ && var6 == DG && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == SLVQ && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == SLVQ && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == SLVQ && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == SLVQ && var6 == DD && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == SLVQ && var6 == DD && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == SLVQ && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == JAPO && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == JAPO && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == JAPO && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == JAPO && var6 == DG && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == JAPO && var6 == DG && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == JAPO && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == JAPO && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == JAPO && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == JAPO && var6 == DD && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == JAPO && var6 == DD && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == JAPO && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == TAIW && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == TAIW && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == TAIW && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == TAIW && var6 == DG && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == TAIW && var6 == DG && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == TAIW && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == TAIW && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == TAIW && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == TAIW && var6 == DD && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == TAIW && var6 == DD && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == TAIW && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == AUST && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == AUST && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == AUST && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == AUST && var6 == DG && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == AUST && var6 == DG && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == AUST && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == AUST && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == AUST && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == AUST && var6 == DD && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == AUST && var6 == DD && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == AUST && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == URUG && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == URUG && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == URUG && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == URUG && var6 == DG && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == URUG && var6 == DG && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == URUG && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == URUG && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == URUG && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == URUG && var6 == DD && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == URUG && var6 == DD && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == URUG && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAIB && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAIB && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAIB && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAIB && var6 == DG && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAIB && var6 == DG && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAIB && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAIB && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAIB && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAIB && var6 == DD && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAIB && var6 == DD && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAIB && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAIC && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAIC && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAIC && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAIC && var6 == DG && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAIC && var6 == DG && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAIC && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAIC && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAIC && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAIC && var6 == DD && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAIC && var6 == DD && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAIC && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAID && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAID && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAID && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAID && var6 == DG && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAID && var6 == DG && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAID && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAID && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAID && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAID && var6 == DD && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAID && var6 == DD && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAID && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAIF && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAIF && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAIF && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAIF && var6 == DG && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAIF && var6 == DG && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAIF && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAIF && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAIF && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAIF && var6 == DD && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAIF && var6 == DD && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == DAIF && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == EUOR && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == EUOR && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == EUOR && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == EUOR && var6 == DG && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == EUOR && var6 == DG && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == EUOR && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == EUOR && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == EUOR && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == EUOR && var6 == DD && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == EUOR && var6 == DD && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == EUOR && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == CETI && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == CETI && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == CETI && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == CETI && var6 == DG && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == CETI && var6 == DG && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == CETI && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == CETI && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == CETI && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == CETI && var6 == DD && var19 == SSCPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == CETI && var6 == DD && var19 == SSCPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var5 == CETI && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == FRAN && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == FRAN && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == FRAN && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == FRAN && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == FRAN && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == FRAN && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == FRAN && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == FRAN && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == FRAN && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == FRAN && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == FRAN && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == FRAN && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DOTO && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DOTO && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DOTO && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == DOTO && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DOTO && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DOTO && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DOTO && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DOTO && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DOTO && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == DOTO && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DOTO && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DOTO && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ALLE && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ALLE && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ALLE && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == ALLE && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ALLE && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ALLE && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ALLE && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ALLE && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ALLE && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == ALLE && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ALLE && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ALLE && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == AUTR && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == AUTR && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == AUTR && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == AUTR && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == AUTR && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == AUTR && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == AUTR && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == AUTR && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == AUTR && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == AUTR && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == AUTR && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == AUTR && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == BELG && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == BELG && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == BELG && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == BELG && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == BELG && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == BELG && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == BELG && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == BELG && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == BELG && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == BELG && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == BELG && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == BELG && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DANE && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DANE && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DANE && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == DANE && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DANE && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DANE && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DANE && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DANE && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DANE && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == DANE && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DANE && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DANE && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ESPA && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ESPA && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ESPA && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == ESPA && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ESPA && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ESPA && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ESPA && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ESPA && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ESPA && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == ESPA && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ESPA && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ESPA && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == FINL && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == FINL && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == FINL && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == FINL && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == FINL && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == FINL && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == FINL && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == FINL && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == FINL && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == FINL && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == FINL && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == FINL && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == GRBR && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == GRBR && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == GRBR && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == GRBR && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == GRBR && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == GRBR && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == GRBR && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == GRBR && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == GRBR && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == GRBR && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == GRBR && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == GRBR && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == GREC && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == GREC && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == GREC && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == GREC && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == GREC && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == GREC && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == GREC && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == GREC && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == GREC && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == GREC && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == GREC && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == GREC && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == HOLL && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == HOLL && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == HOLL && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == HOLL && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == HOLL && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == HOLL && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == HOLL && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == HOLL && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == HOLL && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == HOLL && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == HOLL && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == HOLL && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == IRLA && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == IRLA && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == IRLA && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == IRLA && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == IRLA && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == IRLA && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == IRLA && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == IRLA && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == IRLA && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == IRLA && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == IRLA && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == IRLA && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ISLA && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ISLA && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ISLA && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == ISLA && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ISLA && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ISLA && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ISLA && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ISLA && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ISLA && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == ISLA && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ISLA && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ISLA && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ITAL && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ITAL && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ITAL && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == ITAL && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ITAL && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ITAL && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ITAL && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ITAL && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ITAL && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == ITAL && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ITAL && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ITAL && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == NORV && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == NORV && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == NORV && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == NORV && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == NORV && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == NORV && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == NORV && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == NORV && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == NORV && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == NORV && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == NORV && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == NORV && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == PORT && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == PORT && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == PORT && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == PORT && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == PORT && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == PORT && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == PORT && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == PORT && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == PORT && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == PORT && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == PORT && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == PORT && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == SUED && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == SUED && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == SUED && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == SUED && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == SUED && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == SUED && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == SUED && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == SUED && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == SUED && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == SUED && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == SUED && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == SUED && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == SUIS && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == SUIS && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == SUIS && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == SUIS && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == SUIS && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == SUIS && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == SUIS && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == SUIS && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == SUIS && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == SUIS && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == SUIS && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == SUIS && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == YOUG && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == YOUG && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == YOUG && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == YOUG && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == YOUG && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == YOUG && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == YOUG && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == YOUG && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == YOUG && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == YOUG && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == YOUG && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == YOUG && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == MAGH && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == MAGH && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == MAGH && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == MAGH && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == MAGH && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == MAGH && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == MAGH && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == MAGH && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == MAGH && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == MAGH && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == MAGH && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == MAGH && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == TURQ && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == TURQ && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == TURQ && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == TURQ && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == TURQ && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == TURQ && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == TURQ && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == TURQ && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == TURQ && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == TURQ && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == TURQ && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == TURQ && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ARGE && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ARGE && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ARGE && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == ARGE && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ARGE && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ARGE && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ARGE && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ARGE && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ARGE && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == ARGE && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ARGE && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ARGE && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == BRES && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == BRES && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == BRES && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == BRES && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == BRES && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == BRES && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == BRES && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == BRES && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == BRES && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == BRES && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == BRES && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == BRES && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == CHIL && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == CHIL && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == CHIL && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == CHIL && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == CHIL && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == CHIL && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == CHIL && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == CHIL && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == CHIL && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == CHIL && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == CHIL && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == CHIL && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == COLO && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == COLO && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == COLO && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == COLO && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == COLO && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == COLO && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == COLO && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == COLO && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == COLO && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == COLO && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == COLO && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == COLO && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == AFSU && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == AFSU && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == AFSU && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == AFSU && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == AFSU && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == AFSU && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == AFSU && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == AFSU && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == AFSU && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == AFSU && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == AFSU && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == AFSU && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == MARO && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == MARO && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == MARO && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == MARO && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == MARO && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == MARO && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == MARO && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == MARO && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == MARO && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == MARO && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == MARO && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == MARO && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ISRA && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ISRA && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ISRA && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == ISRA && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ISRA && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ISRA && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ISRA && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ISRA && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ISRA && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == ISRA && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == ISRA && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == ISRA && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == HONG && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == HONG && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == HONG && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == HONG && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == HONG && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == HONG && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == HONG && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == HONG && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == HONG && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == HONG && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == HONG && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == HONG && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == TCHE && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == TCHE && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == TCHE && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == TCHE && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == TCHE && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == TCHE && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == TCHE && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == TCHE && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == TCHE && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == TCHE && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == TCHE && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == TCHE && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == POLO && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == POLO && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == POLO && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == POLO && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == POLO && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == POLO && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == POLO && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == POLO && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == POLO && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == POLO && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == POLO && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == POLO && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == SLVQ && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == SLVQ && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == SLVQ && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == SLVQ && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == SLVQ && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == SLVQ && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == SLVQ && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == SLVQ && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == SLVQ && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == SLVQ && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == SLVQ && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == SLVQ && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == JAPO && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == JAPO && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == JAPO && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == JAPO && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == JAPO && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == JAPO && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == JAPO && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == JAPO && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == JAPO && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == JAPO && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == JAPO && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == JAPO && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == TAIW && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == TAIW && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == TAIW && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == TAIW && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == TAIW && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == TAIW && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == TAIW && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == TAIW && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == TAIW && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == TAIW && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == TAIW && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == TAIW && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == AUST && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == AUST && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == AUST && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == AUST && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == AUST && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == AUST && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == AUST && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == AUST && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == AUST && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == AUST && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == AUST && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == AUST && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == URUG && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == URUG && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == URUG && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == URUG && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == URUG && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == URUG && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == URUG && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == URUG && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == URUG && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == URUG && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == URUG && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == URUG && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAIB && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DAIB && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAIB && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == DAIB && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAIB && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DAIB && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAIB && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DAIB && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAIB && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == DAIB && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAIB && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DAIB && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAIC && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DAIC && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAIC && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == DAIC && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAIC && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DAIC && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAIC && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DAIC && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAIC && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == DAIC && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAIC && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DAIC && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAID && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DAID && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAID && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == DAID && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAID && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DAID && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAID && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DAID && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAID && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == DAID && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAID && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DAID && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAIF && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DAIF && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAIF && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == DAIF && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAIF && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DAIF && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAIF && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DAIF && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAIF && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == DAIF && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == DAIF && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == DAIF && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == EUOR && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == EUOR && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == EUOR && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == EUOR && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == EUOR && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == EUOR && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == EUOR && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == EUOR && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == EUOR && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == EUOR && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == EUOR && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == EUOR && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == CETI && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == CETI && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == CETI && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == CETI && var6 == DG && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == CETI && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == CETI && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == CETI && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == CETI && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == CETI && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var5 == CETI && var6 == DD && var19 == CPE && var89 == EVE && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var5 == CETI && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var5 == CETI && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == FRAN && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == FRAN && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == FRAN && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == FRAN && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == DOTO && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == DOTO && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == DOTO && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == DOTO && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == ALLE && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == ALLE && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == ALLE && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == ALLE && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == AUTR && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == AUTR && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == AUTR && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == AUTR && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == BELG && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == BELG && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == BELG && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == BELG && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == DANE && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == DANE && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == DANE && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == DANE && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == ESPA && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == ESPA && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == ESPA && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == ESPA && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == FINL && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == FINL && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == FINL && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == FINL && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == GRBR && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == GRBR && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == GRBR && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == GRBR && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == GREC && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == GREC && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == GREC && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == GREC && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == HOLL && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == HOLL && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == HOLL && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == HOLL && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == IRLA && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == IRLA && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == IRLA && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == IRLA && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == ISLA && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == ISLA && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == ISLA && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == ISLA && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == ITAL && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == ITAL && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == ITAL && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == ITAL && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == NORV && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == NORV && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == NORV && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == NORV && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == PORT && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == PORT && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == PORT && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == PORT && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == SUED && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == SUED && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == SUED && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == SUED && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == SUIS && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == SUIS && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == SUIS && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == SUIS && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == YOUG && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == YOUG && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == YOUG && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == YOUG && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == MAGH && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == MAGH && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == MAGH && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == MAGH && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == TURQ && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == TURQ && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == TURQ && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == TURQ && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == ARGE && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == ARGE && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == ARGE && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == ARGE && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == BRES && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == BRES && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == BRES && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == BRES && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == CHIL && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == CHIL && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == CHIL && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == CHIL && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == COLO && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == COLO && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == COLO && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == COLO && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == AFSU && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == AFSU && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == AFSU && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == AFSU && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == MARO && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == MARO && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == MARO && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == MARO && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == ISRA && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == ISRA && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == ISRA && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == ISRA && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == HONG && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == HONG && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == HONG && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == HONG && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == TCHE && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == TCHE && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == TCHE && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == TCHE && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == POLO && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == POLO && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == POLO && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == POLO && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == SLVQ && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == SLVQ && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == SLVQ && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == SLVQ && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == JAPO && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == JAPO && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == JAPO && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == JAPO && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == TAIW && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == TAIW && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == TAIW && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == TAIW && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == AUST && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == AUST && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == AUST && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == AUST && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == URUG && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == URUG && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == URUG && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == URUG && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == DAIB && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == DAIB && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == DAIB && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == DAIB && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == DAIC && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == DAIC && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == DAIC && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == DAIC && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == DAID && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == DAID && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == DAID && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == DAID && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == DAIF && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == DAIF && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == DAIF && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == DAIF && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == EUOR && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == EUOR && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == EUOR && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == EUOR && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == CETI && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == CETI && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == CETI && var6 == DD && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var5 == CETI && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == FRAN && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == FRAN && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == DOTO && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == DOTO && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == ALLE && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == ALLE && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == AUTR && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == AUTR && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == BELG && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == BELG && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == DANE && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == DANE && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == ESPA && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == ESPA && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == FINL && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == FINL && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == GRBR && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == GRBR && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == GREC && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == GREC && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == HOLL && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == HOLL && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == IRLA && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == IRLA && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == ISLA && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == ISLA && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == ITAL && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == ITAL && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == NORV && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == NORV && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == PORT && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == PORT && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == SUED && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == SUED && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == SUIS && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == SUIS && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == YOUG && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == YOUG && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == MAGH && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == MAGH && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == TURQ && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == TURQ && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == ARGE && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == ARGE && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == BRES && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == BRES && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == CHIL && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == CHIL && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == COLO && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == COLO && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == AFSU && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == AFSU && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == MARO && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == MARO && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == ISRA && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == ISRA && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == HONG && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == HONG && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == TCHE && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == TCHE && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == POLO && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == POLO && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == SLVQ && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == SLVQ && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == JAPO && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == JAPO && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == TAIW && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == TAIW && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == AUST && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == AUST && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == URUG && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == URUG && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == DAIB && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == DAIB && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == DAIC && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == DAIC && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == DAID && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == DAID && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == DAIF && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == DAIF && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == EUOR && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == EUOR && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == CETI && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var5 == CETI && var6 == DD && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == FRAN && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == FRAN && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == DOTO && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == DOTO && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == ALLE && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == ALLE && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == AUTR && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == AUTR && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == BELG && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == BELG && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == DANE && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == DANE && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == ESPA && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == ESPA && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == FINL && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == FINL && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == GRBR && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == GRBR && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == GREC && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == GREC && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == HOLL && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == HOLL && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == IRLA && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == IRLA && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == ISLA && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == ISLA && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == ITAL && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == ITAL && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == NORV && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == NORV && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == PORT && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == PORT && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == SUED && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == SUED && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == SUIS && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == SUIS && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == YOUG && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == YOUG && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == MAGH && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == MAGH && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == TURQ && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == TURQ && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == ARGE && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == ARGE && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == BRES && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == BRES && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == CHIL && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == CHIL && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == COLO && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == COLO && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == AFSU && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == AFSU && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == MARO && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == MARO && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == ISRA && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == ISRA && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == HONG && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == HONG && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == TCHE && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == TCHE && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == POLO && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == POLO && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == SLVQ && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == SLVQ && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == JAPO && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == JAPO && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == TAIW && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == TAIW && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == AUST && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == AUST && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == URUG && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == URUG && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == DAIB && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == DAIB && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == DAIC && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == DAIC && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == DAID && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == DAID && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == DAIF && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == DAIF && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == EUOR && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == EUOR && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == CETI && var6 == DG && var19 == CPE && var89 == EVA && var91 == Autre613 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var5 == CETI && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == FRAN && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == FRAN && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == DOTO && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == DOTO && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == ALLE && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == ALLE && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == AUTR && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == AUTR && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == BELG && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == BELG && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == DANE && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == DANE && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == ESPA && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == ESPA && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == FINL && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == FINL && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == GRBR && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == GRBR && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == GREC && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == GREC && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == HOLL && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == HOLL && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == IRLA && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == IRLA && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == ISLA && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == ISLA && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == ITAL && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == ITAL && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == NORV && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == NORV && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == PORT && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == PORT && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == SUED && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == SUED && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == SUIS && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == SUIS && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == YOUG && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == YOUG && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == MAGH && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == MAGH && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == TURQ && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == TURQ && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == ARGE && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == ARGE && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == BRES && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == BRES && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == CHIL && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == CHIL && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == COLO && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == COLO && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == AFSU && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == AFSU && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == MARO && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == MARO && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == ISRA && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == ISRA && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == HONG && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == HONG && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == TCHE && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == TCHE && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == POLO && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == POLO && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == SLVQ && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == SLVQ && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == JAPO && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == JAPO && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == TAIW && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == TAIW && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == AUST && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == AUST && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == URUG && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == URUG && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == DAIB && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == DAIB && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == DAIC && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == DAIC && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == DAID && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == DAID && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == DAIF && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == DAIF && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == EUOR && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == EUOR && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == CETI && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var5 == CETI && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == FRAN && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == FRAN && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == DOTO && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == DOTO && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == ALLE && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == ALLE && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == AUTR && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == AUTR && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == BELG && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == BELG && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == DANE && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == DANE && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == ESPA && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == ESPA && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == FINL && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == FINL && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == GRBR && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == GRBR && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == GREC && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == GREC && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == HOLL && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == HOLL && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == IRLA && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == IRLA && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == ISLA && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == ISLA && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == ITAL && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == ITAL && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == NORV && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == NORV && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == PORT && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == PORT && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == SUED && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == SUED && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == SUIS && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == SUIS && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == YOUG && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == YOUG && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == MAGH && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == MAGH && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == TURQ && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == TURQ && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == ARGE && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == ARGE && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == BRES && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == BRES && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == CHIL && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == CHIL && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == COLO && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == COLO && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == AFSU && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == AFSU && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == MARO && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == MARO && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == ISRA && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == ISRA && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == HONG && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == HONG && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == TCHE && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == TCHE && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == POLO && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == POLO && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == SLVQ && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == SLVQ && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == JAPO && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == JAPO && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == TAIW && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == TAIW && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == AUST && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == AUST && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == URUG && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == URUG && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == DAIB && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == DAIB && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == DAIC && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == DAIC && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == DAID && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == DAID && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == DAIF && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == DAIF && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == EUOR && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == EUOR && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == CETI && var6 == DG && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var5 == CETI && var6 == DD && var19 == SSCPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == FRAN && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == DOTO && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == ALLE && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == AUTR && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == BELG && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == DANE && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == ESPA && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == FINL && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == GRBR && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == GREC && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == HOLL && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == IRLA && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == ISLA && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == ITAL && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == NORV && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == PORT && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == SUED && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == SUIS && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == YOUG && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == MAGH && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == TURQ && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == ARGE && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == BRES && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == CHIL && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == COLO && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == AFSU && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == MARO && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == ISRA && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == HONG && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == TCHE && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == POLO && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == SLVQ && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == JAPO && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == TAIW && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == AUST && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == URUG && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == DAIB && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == DAIC && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == DAID && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == DAIF && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == EUOR && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var5 == CETI && var6 == DG && var19 == CPE && var89 == Autre513 && var91 == Autre613 && var98 == Autre913 ) ) ); ( ( ( var3 == M5 && var10 == SANCOA && var94 == EU00 ) || ( var3 == M6 && var10 == SANCOA && var94 == EU93 ) || ( var3 == M7 && var10 == SANCOA && var94 == CRIT1503 ) || ( var3 == M8 && var10 == SANCOA && var94 == EU96 ) || ( var3 == M9 && var10 == SANCOA && var94 == EU93 ) || ( var3 == MA && var10 == SANCOA && var94 == EU96 ) || ( var3 == MB && var10 == SANCOA && var94 == EU00 ) || ( var3 == MC && var10 == SANCOA && var94 == EU00 ) || ( var3 == MD && var10 == SANCOA && var94 == EU00 ) || ( var3 == ME && var10 == SANCOA && var94 == EU96 ) || ( var3 == MF && var10 == SANCOA && var94 == EU96 ) || ( var3 == MJ && var10 == SANCOA && var94 == EU96 ) || ( var3 == MK && var10 == SANCOA && var94 == EU96 ) || ( var3 == ML && var10 == SANCOA && var94 == EU96 ) || ( var3 == MM && var10 == SANCOA && var94 == EU00 ) || ( var3 == MS && var10 == SANCOA && var94 == CRIT1503 ) || ( var3 == MT && var10 == SANCOA && var94 == EU96 ) || ( var3 == MU && var10 == SANCOA && var94 == EU93 ) || ( var3 == MN && var10 == SANCOA && var94 == EU96 ) || ( var3 == MH && var10 == SANCOA && var94 == EU96 ) || ( var3 == MG && var10 == SANCOA && var94 == EU96 ) || ( var3 == MY && var10 == SANCOA && var94 == EU96 ) || ( var3 == NM2K && var10 == SANCOA && var94 == EU00 ) || ( var3 == NM0C && var10 == SANCOA && var94 == EU00 ) || ( var3 == ND1G && var10 == SANCOA && var94 == EU00 ) ) ); ( ( ( var3 == M5 && var49 == SSAMVA && var94 == EU00 ) || ( var3 == M5 && var49 == Autre310 && var94 == CRIT1503 ) || ( var3 == M5 && var49 == Autre310 && var94 == EU93 ) || ( var3 == M5 && var49 == Autre310 && var94 == EU96 ) || ( var3 == M5 && var49 == Autre310 && var94 == EU00 ) || ( var3 == M6 && var49 == SSAMVA && var94 == EU93 ) || ( var3 == M6 && var49 == Autre310 && var94 == CRIT1503 ) || ( var3 == M6 && var49 == Autre310 && var94 == EU93 ) || ( var3 == M6 && var49 == Autre310 && var94 == EU96 ) || ( var3 == M6 && var49 == Autre310 && var94 == EU00 ) || ( var3 == M7 && var49 == SSAMVA && var94 == CRIT1503 ) || ( var3 == M7 && var49 == Autre310 && var94 == CRIT1503 ) || ( var3 == M7 && var49 == Autre310 && var94 == EU93 ) || ( var3 == M7 && var49 == Autre310 && var94 == EU96 ) || ( var3 == M7 && var49 == Autre310 && var94 == EU00 ) || ( var3 == M8 && var49 == SSAMVA && var94 == EU96 ) || ( var3 == M8 && var49 == Autre310 && var94 == CRIT1503 ) || ( var3 == M8 && var49 == Autre310 && var94 == EU93 ) || ( var3 == M8 && var49 == Autre310 && var94 == EU96 ) || ( var3 == M8 && var49 == Autre310 && var94 == EU00 ) || ( var3 == M9 && var49 == SSAMVA && var94 == EU93 ) || ( var3 == M9 && var49 == Autre310 && var94 == CRIT1503 ) || ( var3 == M9 && var49 == Autre310 && var94 == EU93 ) || ( var3 == M9 && var49 == Autre310 && var94 == EU96 ) || ( var3 == M9 && var49 == Autre310 && var94 == EU00 ) || ( var3 == MA && var49 == SSAMVA && var94 == EU96 ) || ( var3 == MA && var49 == Autre310 && var94 == CRIT1503 ) || ( var3 == MA && var49 == Autre310 && var94 == EU93 ) || ( var3 == MA && var49 == Autre310 && var94 == EU96 ) || ( var3 == MA && var49 == Autre310 && var94 == EU00 ) || ( var3 == MB && var49 == SSAMVA && var94 == EU00 ) || ( var3 == MB && var49 == Autre310 && var94 == CRIT1503 ) || ( var3 == MB && var49 == Autre310 && var94 == EU93 ) || ( var3 == MB && var49 == Autre310 && var94 == EU96 ) || ( var3 == MB && var49 == Autre310 && var94 == EU00 ) || ( var3 == MC && var49 == SSAMVA && var94 == EU00 ) || ( var3 == MC && var49 == Autre310 && var94 == CRIT1503 ) || ( var3 == MC && var49 == Autre310 && var94 == EU93 ) || ( var3 == MC && var49 == Autre310 && var94 == EU96 ) || ( var3 == MC && var49 == Autre310 && var94 == EU00 ) || ( var3 == MD && var49 == SSAMVA && var94 == EU00 ) || ( var3 == MD && var49 == Autre310 && var94 == CRIT1503 ) || ( var3 == MD && var49 == Autre310 && var94 == EU93 ) || ( var3 == MD && var49 == Autre310 && var94 == EU96 ) || ( var3 == MD && var49 == Autre310 && var94 == EU00 ) || ( var3 == ME && var49 == SSAMVA && var94 == EU96 ) || ( var3 == ME && var49 == Autre310 && var94 == CRIT1503 ) || ( var3 == ME && var49 == Autre310 && var94 == EU93 ) || ( var3 == ME && var49 == Autre310 && var94 == EU96 ) || ( var3 == ME && var49 == Autre310 && var94 == EU00 ) || ( var3 == MF && var49 == SSAMVA && var94 == EU96 ) || ( var3 == MF && var49 == Autre310 && var94 == CRIT1503 ) || ( var3 == MF && var49 == Autre310 && var94 == EU93 ) || ( var3 == MF && var49 == Autre310 && var94 == EU96 ) || ( var3 == MF && var49 == Autre310 && var94 == EU00 ) || ( var3 == MJ && var49 == SSAMVA && var94 == EU96 ) || ( var3 == MJ && var49 == Autre310 && var94 == CRIT1503 ) || ( var3 == MJ && var49 == Autre310 && var94 == EU93 ) || ( var3 == MJ && var49 == Autre310 && var94 == EU96 ) || ( var3 == MJ && var49 == Autre310 && var94 == EU00 ) || ( var3 == MK && var49 == SSAMVA && var94 == EU96 ) || ( var3 == MK && var49 == Autre310 && var94 == CRIT1503 ) || ( var3 == MK && var49 == Autre310 && var94 == EU93 ) || ( var3 == MK && var49 == Autre310 && var94 == EU96 ) || ( var3 == MK && var49 == Autre310 && var94 == EU00 ) || ( var3 == ML && var49 == SSAMVA && var94 == EU96 ) || ( var3 == ML && var49 == Autre310 && var94 == CRIT1503 ) || ( var3 == ML && var49 == Autre310 && var94 == EU93 ) || ( var3 == ML && var49 == Autre310 && var94 == EU96 ) || ( var3 == ML && var49 == Autre310 && var94 == EU00 ) || ( var3 == MM && var49 == SSAMVA && var94 == EU00 ) || ( var3 == MM && var49 == Autre310 && var94 == CRIT1503 ) || ( var3 == MM && var49 == Autre310 && var94 == EU93 ) || ( var3 == MM && var49 == Autre310 && var94 == EU96 ) || ( var3 == MM && var49 == Autre310 && var94 == EU00 ) || ( var3 == MS && var49 == SSAMVA && var94 == CRIT1503 ) || ( var3 == MS && var49 == Autre310 && var94 == CRIT1503 ) || ( var3 == MS && var49 == Autre310 && var94 == EU93 ) || ( var3 == MS && var49 == Autre310 && var94 == EU96 ) || ( var3 == MS && var49 == Autre310 && var94 == EU00 ) || ( var3 == MT && var49 == SSAMVA && var94 == EU96 ) || ( var3 == MT && var49 == Autre310 && var94 == CRIT1503 ) || ( var3 == MT && var49 == Autre310 && var94 == EU93 ) || ( var3 == MT && var49 == Autre310 && var94 == EU96 ) || ( var3 == MT && var49 == Autre310 && var94 == EU00 ) || ( var3 == MU && var49 == SSAMVA && var94 == EU93 ) || ( var3 == MU && var49 == Autre310 && var94 == CRIT1503 ) || ( var3 == MU && var49 == Autre310 && var94 == EU93 ) || ( var3 == MU && var49 == Autre310 && var94 == EU96 ) || ( var3 == MU && var49 == Autre310 && var94 == EU00 ) || ( var3 == MN && var49 == SSAMVA && var94 == EU96 ) || ( var3 == MN && var49 == Autre310 && var94 == CRIT1503 ) || ( var3 == MN && var49 == Autre310 && var94 == EU93 ) || ( var3 == MN && var49 == Autre310 && var94 == EU96 ) || ( var3 == MN && var49 == Autre310 && var94 == EU00 ) || ( var3 == MH && var49 == SSAMVA && var94 == EU96 ) || ( var3 == MH && var49 == Autre310 && var94 == CRIT1503 ) || ( var3 == MH && var49 == Autre310 && var94 == EU93 ) || ( var3 == MH && var49 == Autre310 && var94 == EU96 ) || ( var3 == MH && var49 == Autre310 && var94 == EU00 ) || ( var3 == MG && var49 == SSAMVA && var94 == EU96 ) || ( var3 == MG && var49 == Autre310 && var94 == CRIT1503 ) || ( var3 == MG && var49 == Autre310 && var94 == EU93 ) || ( var3 == MG && var49 == Autre310 && var94 == EU96 ) || ( var3 == MG && var49 == Autre310 && var94 == EU00 ) || ( var3 == MY && var49 == SSAMVA && var94 == EU96 ) || ( var3 == MY && var49 == Autre310 && var94 == CRIT1503 ) || ( var3 == MY && var49 == Autre310 && var94 == EU93 ) || ( var3 == MY && var49 == Autre310 && var94 == EU96 ) || ( var3 == MY && var49 == Autre310 && var94 == EU00 ) || ( var3 == NM2K && var49 == SSAMVA && var94 == EU00 ) || ( var3 == NM2K && var49 == Autre310 && var94 == CRIT1503 ) || ( var3 == NM2K && var49 == Autre310 && var94 == EU93 ) || ( var3 == NM2K && var49 == Autre310 && var94 == EU96 ) || ( var3 == NM2K && var49 == Autre310 && var94 == EU00 ) || ( var3 == NM0C && var49 == SSAMVA && var94 == EU00 ) || ( var3 == NM0C && var49 == Autre310 && var94 == CRIT1503 ) || ( var3 == NM0C && var49 == Autre310 && var94 == EU93 ) || ( var3 == NM0C && var49 == Autre310 && var94 == EU96 ) || ( var3 == NM0C && var49 == Autre310 && var94 == EU00 ) || ( var3 == ND1G && var49 == SSAMVA && var94 == EU00 ) || ( var3 == ND1G && var49 == Autre310 && var94 == CRIT1503 ) || ( var3 == ND1G && var49 == Autre310 && var94 == EU93 ) || ( var3 == ND1G && var49 == Autre310 && var94 == EU96 ) || ( var3 == ND1G && var49 == Autre310 && var94 == EU00 ) ) ); ( ( ( var1 == S64 && var2 == E1 && var3 == M9 && var13 == CHAUFO && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var13 == CHAUFO && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var13 == CA && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var13 == CA && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var13 == CHAUFO && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var13 == CA && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var13 == CHAUFO && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var13 == CA && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var13 == CHAUFO && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var13 == CA && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var13 == CHAUFO && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var13 == CHAUFO && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var13 == CA && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var13 == CA && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var13 == CHAUFO && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var13 == CA && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var13 == CHAUFO && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var13 == CHAUFO && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var13 == CA && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var13 == CA && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var13 == CHAUFO && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var13 == CA && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var13 == CHAUFO && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var13 == CA && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var13 == CHAUFO && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var13 == CA && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var13 == CHAUFO && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var13 == CHAUFO && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var13 == CA && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var13 == CA && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var13 == CHAUFO && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var13 == CA && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var13 == CHAUFO && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var13 == CHAUFO && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var13 == CA && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var13 == CA && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var13 == CHAUFO && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var13 == CHAUFO && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var13 == CA && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var13 == CA && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var13 == CHAUFO && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var13 == CHAUFO && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var13 == CA && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var13 == CA && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var13 == CHAUFO && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var13 == CA && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var13 == CHAUFO && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var13 == CHAUFO && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var13 == CA && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var13 == CA && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var13 == CHAUFO && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var13 == CA && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var13 == CHAUFO && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var13 == CHAUFO && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var13 == CA && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var13 == CA && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var13 == CHAUFO && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var13 == CHAUFO && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var13 == CA && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var13 == CA && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var13 == CHAUFO && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var13 == CHAUFO && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var13 == CA && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var13 == CA && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var13 == CHAUFO && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var13 == CA && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var13 == CHAUFO && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var13 == CA && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var13 == CHAUFO && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var13 == CA && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var13 == CHAUFO && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var13 == CA && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var13 == CHAUFO && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var13 == CA && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var13 == CHAUFO && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var13 == CHAUFO && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var13 == CA && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var13 == CA && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var13 == CHAUFO && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var13 == CHAUFO && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var13 == CA && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var13 == CHAUFO && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var13 == CA && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var13 == CHAUFO && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var13 == CHAUFO && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var13 == CA && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var13 == CA && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var13 == CHAUFO && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var13 == CA && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var13 == CHAUFO && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var13 == CA && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var13 == CHAUFO && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var13 == CA && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var13 == CHAUFO && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var13 == CA && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var13 == CHAUFO && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var13 == CHAUFO && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var13 == CA && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var13 == CA && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var13 == CHAUFO && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var13 == CHAUFO && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var13 == CA && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var13 == CA && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var13 == CHAUFO && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var13 == CHAUFO && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var13 == CA && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var13 == CA && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var13 == CHAUFO && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var13 == CHAUFO && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var13 == CA && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var13 == CA && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var13 == CHAUFO && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var13 == CHAUFO && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var13 == CA && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var13 == CA && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var13 == CHAUFO && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var13 == CHAUFO && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var13 == CA && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var13 == CA && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var13 == CHAUFO && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var13 == CA && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var13 == CHAUFO && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var13 == CHAUFO && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var13 == CA && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var13 == CA && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var13 == CHAUFO && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var13 == CA && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var13 == CHAUFO && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var13 == CHAUFO && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var13 == CA && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var13 == CA && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var13 == CHAUFO && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var13 == CHAUFO && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var13 == CA && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var13 == CA && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var13 == CHAUFO && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var13 == CHAUFO && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var13 == CA && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var13 == CA && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var13 == CHAUFO && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var13 == CA && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var13 == CHAUFO && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var13 == CA && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var13 == CHAUFO && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var13 == CA && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var13 == CHAUFO && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var13 == CA && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var13 == CHAUFO && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var13 == CA && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var13 == CHAUFO && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var13 == CHAUFO && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var13 == CA && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var13 == CA && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var13 == CHAUFO && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var13 == CHAUFO && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var13 == CA && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var13 == CA && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var13 == CHAUFO && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var13 == CA && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var13 == CHAUFO && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var13 == CHAUFO && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var13 == CA && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var13 == CA && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var13 == CHAUFO && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var13 == CA && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var13 == CHAUFO && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var13 == CA && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var13 == CHAUFO && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var13 == CA && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var13 == CHAUFO && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var13 == CA && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var13 == CHAUFO && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var13 == CHAUFO && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var13 == CA && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var13 == CA && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var13 == CHAUFO && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var13 == CHAUFO && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var13 == CA && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var13 == CA && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var13 == CHAUFO && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var13 == CHAUFO && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var13 == CA && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var13 == CA && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var13 == CHAUFO && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var13 == CHAUFO && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var13 == CA && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var13 == CA && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var13 == CHAUFO && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var13 == CHAUFO && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var13 == CA && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var13 == CA && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var13 == CHAUFO && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var13 == CA && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var13 == CA && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var13 == CHAUFO && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var13 == CA && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var13 == CHAUFO && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var13 == CHAUFO && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var13 == CA && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var13 == CA && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var13 == CHAUFO && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var13 == CA && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var13 == CHAUFO && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var13 == CHAUFO && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var13 == CA && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var13 == CA && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var13 == CHAUFO && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var13 == CHAUFO && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var13 == CA && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var13 == CA && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var13 == CHAUFO && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var13 == CHAUFO && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var13 == CA && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var13 == CA && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var13 == CHAUFO && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var13 == CA && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var13 == CHAUFO && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var13 == CA && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var13 == CHAUFO && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var13 == CA && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var13 == CHAUFO && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var13 == CA && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var13 == CHAUFO && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var13 == CA && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var13 == CHAUFO && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var13 == CHAUFO && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var13 == CA && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var13 == CA && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var13 == CHAUFO && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var13 == CA && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var13 == CA && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var13 == CHAUFO && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var13 == CA && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var13 == CHAUFO && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var13 == CHAUFO && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var13 == CA && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var13 == CA && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var13 == CHAUFO && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var13 == CA && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var13 == CHAUFO && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var13 == CA && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var13 == CHAUFO && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var13 == CA && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var13 == CHAUFO && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var13 == CA && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var13 == CHAUFO && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var13 == CHAUFO && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var13 == CA && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var13 == CA && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var13 == CHAUFO && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var13 == CHAUFO && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var13 == CA && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var13 == CA && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var13 == CHAUFO && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var13 == CHAUFO && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var13 == CA && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var13 == CA && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var13 == CHAUFO && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var13 == CHAUFO && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var13 == CA && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var13 == CA && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var13 == CHAUFO && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var13 == CHAUFO && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var13 == CA && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var13 == CA && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var13 == CHAUFO && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var13 == CA && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var13 == CHAUFO && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var13 == CA && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var13 == CHAUFO && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var13 == CA && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var13 == CHAUFO && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var13 == CHAUFO && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var13 == CA && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var13 == CA && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var13 == CHAUFO && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var13 == CHAUFO && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var13 == CA && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var13 == CA && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var13 == CHAUFO && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var13 == CHAUFO && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var13 == CA && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var13 == CA && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var13 == CHAUFO && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var13 == CA && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var13 == CHAUFO && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var13 == CA && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var13 == CHAUFO && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var13 == CA && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var13 == CHAUFO && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var13 == CHAUFO && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var13 == CA && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var13 == CA && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var13 == CHAUFO && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var13 == CHAUFO && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var13 == CA && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var13 == CA && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var13 == CHAUFO && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var13 == CHAUFO && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var13 == CA && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var13 == CA && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var13 == CHAUFO && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var13 == CA && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var13 == CHAUFO && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var13 == CA && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var13 == CHAUFO && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var13 == CA && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var13 == CHAUFO && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var13 == CHAUFO && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var13 == CA && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var13 == CA && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var13 == CHAUFO && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var13 == CHAUFO && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var13 == CA && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var13 == CA && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var13 == CHAUFO && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var13 == CHAUFO && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var13 == CA && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var13 == CA && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var13 == CHAUFO && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var13 == CA && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var13 == CHAUFO && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var13 == CA && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var13 == CHAUFO && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var13 == CA && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var13 == CHAUFO && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var13 == CHAUFO && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var13 == CA && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var13 == CA && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var13 == CHAUFO && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var13 == CHAUFO && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var13 == CA && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var13 == CA && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var13 == CHAUFO && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var13 == CHAUFO && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var13 == CA && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var13 == CA && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var13 == CHAUFO && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var13 == CA && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var13 == CHAUFO && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var13 == CA && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var13 == CHAUFO && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var13 == CA && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var13 == CHAUFO && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var13 == CHAUFO && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var13 == CA && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var13 == CA && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var13 == CHAUFO && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var13 == CHAUFO && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var13 == CA && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var13 == CA && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var13 == CHAUFO && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var13 == CA && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var13 == CHAUFO && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var13 == CA && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var13 == CHAUFO && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var13 == CA && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var13 == CHAUFO && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var13 == CA && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var13 == CHAUFO && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var13 == CA && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var13 == CHAUFO && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var13 == CHAUFO && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var13 == CA && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var13 == CA && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var13 == CHAUFO && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var13 == CHAUFO && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var13 == CA && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var13 == CA && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var13 == CHAUFO && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var13 == CHAUFO && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var13 == CA && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var13 == CA && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var13 == CHAUFO && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var13 == CA && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var13 == CHAUFO && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var13 == CA && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var13 == CHAUFO && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var13 == CA && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var13 == CHAUFO && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var13 == CA && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var13 == CHAUFO && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var13 == CA && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var13 == CHAUFO && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var13 == CHAUFO && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var13 == CA && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var13 == CA && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MS && var13 == CHAUFO && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MS && var13 == CA && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var13 == CHAUFO && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var13 == CA && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MU && var13 == CHAUFO && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MU && var13 == CA && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var13 == CHAUFO && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var13 == CA && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var13 == CHAUFO && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var13 == CA && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var13 == CHAUFO && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var13 == CA && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var13 == CHAUFO && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var13 == CA && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var13 == CHAUFO && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var13 == CHAUFO && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var13 == CA && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var13 == CA && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var13 == CHAUFO && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var13 == CHAUFO && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var13 == CA && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var13 == CA && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var13 == CHAUFO && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var13 == CHAUFO && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var13 == CA && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var13 == CA && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var13 == CHAUFO && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var13 == CHAUFO && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var13 == CA && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var13 == CA && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var13 == CHAUFO && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var13 == CA && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var13 == CHAUFO && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var13 == CA && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var13 == CHAUFO && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var13 == CA && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var13 == CHAUFO && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var13 == CA && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var13 == CHAUFO && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var13 == CA && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var13 == CHAUFO && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var13 == CHAUFO && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var13 == CA && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var13 == CA && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var13 == CHAUFO && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var13 == CHAUFO && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var13 == CA && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var13 == CA && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var13 == CHAUFO && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var13 == CHAUFO && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var13 == CA && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var13 == CA && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var13 == CHAUFO && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var13 == CA && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var13 == CHAUFO && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var13 == CA && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var13 == CHAUFO && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var13 == CA && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var13 == CHAUFO && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var13 == CA && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var13 == CHAUFO && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var13 == CA && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var13 == CHAUFO && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var13 == CHAUFO && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var13 == CA && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var13 == CA && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MS && var13 == CHAUFO && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MS && var13 == CA && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var13 == CHAUFO && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var13 == CA && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MU && var13 == CHAUFO && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MU && var13 == CA && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var13 == CHAUFO && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var13 == CA && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var13 == CHAUFO && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var13 == CA && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var13 == CHAUFO && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var13 == CA && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var13 == CHAUFO && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var13 == CA && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var13 == CHAUFO && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var13 == CHAUFO && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var13 == CA && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var13 == CA && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var13 == CHAUFO && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var13 == CHAUFO && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var13 == CA && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var13 == CA && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var13 == CHAUFO && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var13 == CHAUFO && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var13 == CA && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var13 == CA && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var13 == CHAUFO && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var13 == CHAUFO && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var13 == CA && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var13 == CA && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var13 == CHAUFO && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var13 == CHAUFO && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var13 == CA && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var13 == CA && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var13 == CHAUFO && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var13 == CHAUFO && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var13 == CA && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var13 == CA && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var13 == CHAUFO && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var13 == CA && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var13 == CHAUFO && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var13 == CHAUFO && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var13 == CA && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var13 == CA && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var13 == CHAUFO && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var13 == CA && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var13 == CHAUFO && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var13 == CHAUFO && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var13 == CA && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var13 == CA && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var13 == CHAUFO && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var13 == CHAUFO && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var13 == CA && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var13 == CA && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var13 == CHAUFO && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var13 == CHAUFO && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var13 == CA && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var13 == CA && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var13 == CHAUFO && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var13 == CA && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var13 == CHAUFO && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var13 == CA && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var13 == CHAUFO && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var13 == CA && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var13 == CHAUFO && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var13 == CA && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var13 == CHAUFO && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var13 == CA && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var13 == CHAUFO && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var13 == CHAUFO && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var13 == CA && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var13 == CA && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var13 == CHAUFO && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var13 == CHAUFO && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var13 == CA && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var13 == CHAUFO && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var13 == CA && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var13 == CHAUFO && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var13 == CHAUFO && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var13 == CA && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var13 == CA && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var13 == CHAUFO && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var13 == CA && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var13 == CHAUFO && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var13 == CA && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var13 == CHAUFO && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var13 == CA && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var13 == CHAUFO && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var13 == CA && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var13 == CHAUFO && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var13 == CHAUFO && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var13 == CA && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var13 == CA && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var13 == CHAUFO && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var13 == CHAUFO && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var13 == CA && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var13 == CA && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var13 == CHAUFO && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var13 == CHAUFO && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var13 == CA && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var13 == CA && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var13 == CHAUFO && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var13 == CHAUFO && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var13 == CA && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var13 == CA && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var13 == CHAUFO && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var13 == CHAUFO && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var13 == CA && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var13 == CA && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var13 == CHAUFO && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var13 == CA && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var13 == CA && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var13 == CHAUFO && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var13 == CA && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var13 == CHAUFO && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var13 == CHAUFO && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var13 == CA && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var13 == CA && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var13 == CHAUFO && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var13 == CA && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var13 == CHAUFO && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var13 == CHAUFO && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var13 == CA && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var13 == CA && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var13 == CHAUFO && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var13 == CHAUFO && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var13 == CA && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var13 == CA && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var13 == CHAUFO && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var13 == CHAUFO && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var13 == CA && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var13 == CA && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var13 == CHAUFO && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var13 == CA && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var13 == CHAUFO && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var13 == CA && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var13 == CHAUFO && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var13 == CA && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var13 == CHAUFO && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var13 == CA && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var13 == CHAUFO && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var13 == CA && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var13 == CHAUFO && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var13 == CHAUFO && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var13 == CA && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var13 == CA && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var13 == CHAUFO && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var13 == CA && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var13 == CA && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var13 == CHAUFO && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var13 == CA && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var13 == CHAUFO && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var13 == CHAUFO && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var13 == CA && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var13 == CA && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var13 == CHAUFO && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var13 == CA && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var13 == CHAUFO && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var13 == CA && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var13 == CHAUFO && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var13 == CA && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var13 == CHAUFO && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var13 == CA && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var13 == CHAUFO && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var13 == CHAUFO && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var13 == CA && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var13 == CA && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var13 == CHAUFO && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var13 == CHAUFO && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var13 == CA && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var13 == CA && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var13 == CHAUFO && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var13 == CHAUFO && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var13 == CA && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var13 == CA && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var13 == CHAUFO && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var13 == CHAUFO && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var13 == CA && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var13 == CA && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var13 == CHAUFO && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var13 == CHAUFO && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var13 == CA && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var13 == CA && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var13 == CHAUFO && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var13 == CHAUFO && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var13 == CA && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var13 == CA && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var13 == CHAUFO && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var13 == CA && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var13 == CHAUFO && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var13 == CHAUFO && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var13 == CA && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var13 == CA && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var13 == CHAUFO && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var13 == CA && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var13 == CHAUFO && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var13 == CHAUFO && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var13 == CA && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var13 == CA && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var13 == CHAUFO && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var13 == CHAUFO && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var13 == CA && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var13 == CA && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var13 == CHAUFO && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var13 == CHAUFO && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var13 == CA && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var13 == CA && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var13 == CHAUFO && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var13 == CA && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var13 == CHAUFO && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var13 == CA && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var13 == CHAUFO && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var13 == CA && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var13 == CHAUFO && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var13 == CA && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var13 == CHAUFO && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var13 == CA && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var13 == CHAUFO && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var13 == CHAUFO && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var13 == CA && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var13 == CA && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var13 == CHAUFO && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var13 == CHAUFO && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var13 == CA && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var13 == CHAUFO && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var13 == CA && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var13 == CHAUFO && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var13 == CHAUFO && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var13 == CA && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var13 == CA && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var13 == CHAUFO && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var13 == CA && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var13 == CHAUFO && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var13 == CA && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var13 == CHAUFO && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var13 == CA && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var13 == CHAUFO && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var13 == CA && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var13 == CHAUFO && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var13 == CHAUFO && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var13 == CA && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var13 == CA && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var13 == CHAUFO && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var13 == CHAUFO && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var13 == CA && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var13 == CA && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var13 == CHAUFO && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var13 == CHAUFO && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var13 == CA && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var13 == CA && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var13 == CHAUFO && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var13 == CHAUFO && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var13 == CA && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var13 == CA && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var13 == CHAUFO && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var13 == CHAUFO && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var13 == CA && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var13 == CA && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var13 == CHAUFO && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var13 == CHAUFO && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var13 == CA && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var13 == CA && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var13 == CHAUFO && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var13 == CA && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var13 == CHAUFO && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var13 == CHAUFO && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var13 == CA && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var13 == CA && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var13 == CHAUFO && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var13 == CA && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var13 == CHAUFO && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var13 == CHAUFO && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var13 == CA && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var13 == CA && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var13 == CHAUFO && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var13 == CHAUFO && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var13 == CA && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var13 == CA && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var13 == CHAUFO && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var13 == CHAUFO && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var13 == CA && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var13 == CA && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var13 == CHAUFO && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var13 == CA && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var13 == CHAUFO && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var13 == CA && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var13 == CHAUFO && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var13 == CA && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var13 == CHAUFO && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var13 == CA && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var13 == CHAUFO && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var13 == CA && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var13 == CHAUFO && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var13 == CHAUFO && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var13 == CA && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var13 == CA && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var13 == CHAUFO && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var13 == CHAUFO && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var13 == CA && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var13 == CA && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var13 == CHAUFO && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var13 == CA && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var13 == CHAUFO && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var13 == CHAUFO && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var13 == CA && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var13 == CA && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var13 == CHAUFO && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var13 == CA && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var13 == CHAUFO && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var13 == CA && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var13 == CHAUFO && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var13 == CA && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var13 == CHAUFO && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var13 == CA && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var13 == CHAUFO && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var13 == CHAUFO && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var13 == CA && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var13 == CA && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var13 == CHAUFO && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var13 == CHAUFO && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var13 == CA && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var13 == CA && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var13 == CHAUFO && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var13 == CHAUFO && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var13 == CA && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var13 == CA && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var13 == CHAUFO && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var13 == CHAUFO && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var13 == CA && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var13 == CA && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var13 == CHAUFO && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var13 == CHAUFO && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var13 == CA && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var13 == CA && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var13 == CHAUFO && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var13 == CA && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var13 == CA && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var13 == CHAUFO && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var13 == CA && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var13 == CHAUFO && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var13 == CHAUFO && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var13 == CA && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var13 == CA && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var13 == CHAUFO && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var13 == CA && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var13 == CHAUFO && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var13 == CHAUFO && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var13 == CA && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var13 == CA && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var13 == CHAUFO && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var13 == CHAUFO && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var13 == CA && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var13 == CA && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var13 == CHAUFO && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var13 == CHAUFO && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var13 == CA && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var13 == CA && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var13 == CHAUFO && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var13 == CA && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var13 == CHAUFO && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var13 == CA && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var13 == CHAUFO && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var13 == CA && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var13 == CHAUFO && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var13 == CA && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var13 == CHAUFO && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var13 == CA && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var13 == CHAUFO && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var13 == CHAUFO && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var13 == CA && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var13 == CA && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var13 == CHAUFO && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var13 == CA && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var13 == CA && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var13 == CHAUFO && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var13 == CA && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var13 == CHAUFO && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var13 == CHAUFO && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var13 == CA && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var13 == CA && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var13 == CHAUFO && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var13 == CA && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var13 == CHAUFO && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var13 == CA && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var13 == CHAUFO && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var13 == CA && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var13 == CHAUFO && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var13 == CA && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var13 == CHAUFO && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var13 == CHAUFO && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var13 == CA && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var13 == CA && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var13 == CHAUFO && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var13 == CHAUFO && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var13 == CA && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var13 == CA && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var13 == CHAUFO && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var13 == CHAUFO && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var13 == CA && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var13 == CA && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var13 == CHAUFO && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var13 == CHAUFO && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var13 == CA && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var13 == CA && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var13 == CHAUFO && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var13 == CHAUFO && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var13 == CA && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var13 == CA && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var13 == CHAUFO && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var13 == CHAUFO && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var13 == CA && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var13 == CA && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var13 == CHAUFO && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var13 == CA && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var13 == CHAUFO && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var13 == CHAUFO && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var13 == CA && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var13 == CA && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var13 == CHAUFO && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var13 == CA && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var13 == CHAUFO && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var13 == CHAUFO && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var13 == CA && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var13 == CA && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var13 == CHAUFO && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var13 == CHAUFO && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var13 == CA && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var13 == CA && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var13 == CHAUFO && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var13 == CHAUFO && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var13 == CA && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var13 == CA && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var13 == CHAUFO && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var13 == CA && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var13 == CHAUFO && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var13 == CA && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var13 == CHAUFO && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var13 == CA && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var13 == CHAUFO && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var13 == CA && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var13 == CHAUFO && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var13 == CA && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var13 == CHAUFO && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var13 == CHAUFO && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var13 == CA && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var13 == CA && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var13 == CHAUFO && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var13 == CHAUFO && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var13 == CA && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var13 == CHAUFO && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var13 == CA && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var13 == CHAUFO && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var13 == CHAUFO && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var13 == CA && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var13 == CA && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var13 == CHAUFO && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var13 == CA && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var13 == CHAUFO && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var13 == CA && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var13 == CHAUFO && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var13 == CA && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var13 == CHAUFO && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var13 == CA && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var13 == CHAUFO && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var13 == CHAUFO && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var13 == CA && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var13 == CA && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var13 == CHAUFO && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var13 == CHAUFO && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var13 == CA && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var13 == CA && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var13 == CHAUFO && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var13 == CHAUFO && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var13 == CA && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var13 == CA && var94 == EU00 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var13 == CHAUFO && var94 == EU96 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var13 == CA && var94 == EU96 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var13 == CHAUFO && var94 == EU96 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var13 == CA && var94 == EU96 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var13 == CHAUFO && var94 == EU96 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var13 == CA && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var13 == CHAUFO && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var13 == CHAUFO && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var13 == CA && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var13 == CA && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var13 == CHAUFO && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var13 == CHAUFO && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var13 == CA && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var13 == CA && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var13 == CHAUFO && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var13 == CA && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var13 == CHAUFO && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var13 == CA && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var13 == CHAUFO && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var13 == CA && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var13 == CHAUFO && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var13 == CA && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var13 == CHAUFO && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var13 == CHAUFO && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var13 == CA && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var13 == CA && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var13 == CHAUFO && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var13 == CHAUFO && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var13 == CA && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var13 == CA && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var13 == CHAUFO && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var13 == CA && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var13 == CHAUFO && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var13 == CA && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var13 == CHAUFO && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var13 == CA && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var13 == CHAUFO && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var13 == CA && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var13 == CHAUFO && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var13 == CHAUFO && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var13 == CA && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var13 == CA && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var13 == CHAUFO && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var13 == CHAUFO && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var13 == CA && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var13 == CA && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var13 == CHAUFO && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var13 == CA && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var13 == CHAUFO && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var13 == CA && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var13 == CHAUFO && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var13 == CA && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var13 == CHAUFO && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var13 == CA && var94 == EU96 ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var13 == CHAUFO && var94 == EU96 ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var13 == CA && var94 == EU96 ) ) ); ( ( ( var3 == M5 && var8 == CPLN && var94 == EU00 ) || ( var3 == M6 && var8 == CPLN && var94 == EU93 ) || ( var3 == M7 && var8 == CPLN && var94 == CRIT1503 ) || ( var3 == M8 && var8 == CPLN && var94 == EU96 ) || ( var3 == M9 && var8 == CPLN && var94 == EU93 ) || ( var3 == MA && var8 == CPLN && var94 == EU96 ) || ( var3 == MB && var8 == CPLN && var94 == EU00 ) || ( var3 == MC && var8 == CPLN && var94 == EU00 ) || ( var3 == MD && var8 == CPLN && var94 == EU00 ) || ( var3 == ME && var8 == CPLN && var94 == EU96 ) || ( var3 == MF && var8 == CPLN && var94 == EU96 ) || ( var3 == MJ && var8 == CPLN && var94 == EU96 ) || ( var3 == MK && var8 == CPLN && var94 == EU96 ) || ( var3 == ML && var8 == CPLN && var94 == EU96 ) || ( var3 == MM && var8 == CPLN && var94 == EU00 ) || ( var3 == MS && var8 == CPLN && var94 == CRIT1503 ) || ( var3 == MT && var8 == CPLN && var94 == EU96 ) || ( var3 == MU && var8 == CPLN && var94 == EU93 ) || ( var3 == MN && var8 == CPLN && var94 == EU96 ) || ( var3 == MH && var8 == CPLN && var94 == EU96 ) || ( var3 == MG && var8 == CPLN && var94 == EU96 ) || ( var3 == MY && var8 == CPLN && var94 == EU96 ) || ( var3 == NM2K && var8 == CPLN && var94 == EU00 ) || ( var3 == NM0C && var8 == CPLN && var94 == EU00 ) || ( var3 == ND1G && var8 == CPLN && var94 == EU00 ) ) ); ( ( ( var3 == M5 && var9 == DA && var94 == EU00 ) || ( var3 == M6 && var9 == DA && var94 == EU93 ) || ( var3 == M7 && var9 == DA && var94 == CRIT1503 ) || ( var3 == M8 && var9 == DA && var94 == EU96 ) || ( var3 == M9 && var9 == DA && var94 == EU93 ) || ( var3 == MA && var9 == DA && var94 == EU96 ) || ( var3 == MB && var9 == DA && var94 == EU00 ) || ( var3 == MC && var9 == DA && var94 == EU00 ) || ( var3 == MD && var9 == DA && var94 == EU00 ) || ( var3 == ME && var9 == DA && var94 == EU96 ) || ( var3 == MF && var9 == DA && var94 == EU96 ) || ( var3 == MJ && var9 == DA && var94 == EU96 ) || ( var3 == MK && var9 == DA && var94 == EU96 ) || ( var3 == ML && var9 == DA && var94 == EU96 ) || ( var3 == MM && var9 == DA && var94 == EU00 ) || ( var3 == MS && var9 == DA && var94 == CRIT1503 ) || ( var3 == MT && var9 == DM && var94 == EU96 ) || ( var3 == MU && var9 == DA && var94 == EU93 ) || ( var3 == MN && var9 == DA && var94 == EU96 ) || ( var3 == MH && var9 == DA && var94 == EU96 ) || ( var3 == MG && var9 == DA && var94 == EU96 ) || ( var3 == MY && var9 == DA && var94 == EU96 ) || ( var3 == NM2K && var9 == DA && var94 == EU00 ) || ( var3 == NM0C && var9 == DM && var94 == EU00 ) || ( var3 == ND1G && var9 == DA && var94 == EU00 ) ) ); ( ( ( var2 == E0 && var3 == M5 && var66 == JANTOL && var94 == EU00 ) || ( var2 == E0 && var3 == M5 && var66 == JANALU && var94 == EU00 ) || ( var2 == E0 && var3 == M6 && var66 == JANTOL && var94 == EU93 ) || ( var2 == E0 && var3 == M7 && var66 == JANTOL && var94 == CRIT1503 ) || ( var2 == E0 && var3 == M7 && var66 == JANALU && var94 == CRIT1503 ) || ( var2 == E0 && var3 == M8 && var66 == JANTOL && var94 == EU96 ) || ( var2 == E0 && var3 == M8 && var66 == JANALU && var94 == EU96 ) || ( var2 == E0 && var3 == M9 && var66 == JANTOL && var94 == EU93 ) || ( var2 == E0 && var3 == M9 && var66 == JANALU && var94 == EU93 ) || ( var2 == E0 && var3 == MA && var66 == JANTOL && var94 == EU96 ) || ( var2 == E0 && var3 == MB && var66 == JANTOL && var94 == EU00 ) || ( var2 == E0 && var3 == MB && var66 == JANALU && var94 == EU00 ) || ( var2 == E0 && var3 == MC && var66 == JANALU && var94 == EU00 ) || ( var2 == E0 && var3 == MD && var66 == JANTOL && var94 == EU00 ) || ( var2 == E0 && var3 == MD && var66 == JANALU && var94 == EU00 ) || ( var2 == E0 && var3 == ME && var66 == JANTOL && var94 == EU96 ) || ( var2 == E0 && var3 == MF && var66 == JANTOL && var94 == EU96 ) || ( var2 == E0 && var3 == MF && var66 == JANALU && var94 == EU96 ) || ( var2 == E0 && var3 == MJ && var66 == JANTOL && var94 == EU96 ) || ( var2 == E0 && var3 == MK && var66 == JANTOL && var94 == EU96 ) || ( var2 == E0 && var3 == MK && var66 == JANALU && var94 == EU96 ) || ( var2 == E0 && var3 == ML && var66 == JANTOL && var94 == EU96 ) || ( var2 == E0 && var3 == MM && var66 == JANTOL && var94 == EU00 ) || ( var2 == E0 && var3 == MM && var66 == JANALU && var94 == EU00 ) || ( var2 == E0 && var3 == MS && var66 == JANTOL && var94 == CRIT1503 ) || ( var2 == E0 && var3 == MS && var66 == JANALU && var94 == CRIT1503 ) || ( var2 == E0 && var3 == MT && var66 == JANTOL && var94 == EU96 ) || ( var2 == E0 && var3 == MU && var66 == JANTOL && var94 == EU93 ) || ( var2 == E0 && var3 == MN && var66 == JANTOL && var94 == EU96 ) || ( var2 == E0 && var3 == MN && var66 == JANALU && var94 == EU96 ) || ( var2 == E0 && var3 == MH && var66 == JANALU && var94 == EU96 ) || ( var2 == E0 && var3 == MG && var66 == JANTOL && var94 == EU96 ) || ( var2 == E0 && var3 == MG && var66 == JANALU && var94 == EU96 ) || ( var2 == E0 && var3 == MY && var66 == JANTOL && var94 == EU96 ) || ( var2 == E0 && var3 == MY && var66 == JANALU && var94 == EU96 ) || ( var2 == E0 && var3 == NM2K && var66 == JANTOL && var94 == EU00 ) || ( var2 == E0 && var3 == NM2K && var66 == JANALU && var94 == EU00 ) || ( var2 == E0 && var3 == NM0C && var66 == JANTOL && var94 == EU00 ) || ( var2 == E0 && var3 == NM0C && var66 == JANALU && var94 == EU00 ) || ( var2 == E0 && var3 == ND1G && var66 == JANTOL && var94 == EU00 ) || ( var2 == E0 && var3 == ND1G && var66 == JANALU && var94 == EU00 ) || ( var2 == E1 && var3 == M5 && var66 == JANTOL && var94 == EU00 ) || ( var2 == E1 && var3 == M5 && var66 == JANALU && var94 == EU00 ) || ( var2 == E1 && var3 == M6 && var66 == JANTOL && var94 == EU93 ) || ( var2 == E1 && var3 == M7 && var66 == JANTOL && var94 == CRIT1503 ) || ( var2 == E1 && var3 == M7 && var66 == JANALU && var94 == CRIT1503 ) || ( var2 == E1 && var3 == M8 && var66 == JANTOL && var94 == EU96 ) || ( var2 == E1 && var3 == M8 && var66 == JANALU && var94 == EU96 ) || ( var2 == E1 && var3 == M9 && var66 == JANTOL && var94 == EU93 ) || ( var2 == E1 && var3 == M9 && var66 == JANALU && var94 == EU93 ) || ( var2 == E1 && var3 == MA && var66 == JANTOL && var94 == EU96 ) || ( var2 == E1 && var3 == MB && var66 == JANTOL && var94 == EU00 ) || ( var2 == E1 && var3 == MB && var66 == JANALU && var94 == EU00 ) || ( var2 == E1 && var3 == MC && var66 == JANALU && var94 == EU00 ) || ( var2 == E1 && var3 == MD && var66 == JANTOL && var94 == EU00 ) || ( var2 == E1 && var3 == MD && var66 == JANALU && var94 == EU00 ) || ( var2 == E1 && var3 == ME && var66 == JANTOL && var94 == EU96 ) || ( var2 == E1 && var3 == MF && var66 == JANTOL && var94 == EU96 ) || ( var2 == E1 && var3 == MF && var66 == JANALU && var94 == EU96 ) || ( var2 == E1 && var3 == MJ && var66 == JANTOL && var94 == EU96 ) || ( var2 == E1 && var3 == MK && var66 == JANTOL && var94 == EU96 ) || ( var2 == E1 && var3 == MK && var66 == JANALU && var94 == EU96 ) || ( var2 == E1 && var3 == ML && var66 == JANTOL && var94 == EU96 ) || ( var2 == E1 && var3 == MM && var66 == JANTOL && var94 == EU00 ) || ( var2 == E1 && var3 == MM && var66 == JANALU && var94 == EU00 ) || ( var2 == E1 && var3 == MS && var66 == JANTOL && var94 == CRIT1503 ) || ( var2 == E1 && var3 == MT && var66 == JANTOL && var94 == EU96 ) || ( var2 == E1 && var3 == MU && var66 == JANTOL && var94 == EU93 ) || ( var2 == E1 && var3 == MN && var66 == JANTOL && var94 == EU96 ) || ( var2 == E1 && var3 == MN && var66 == JANALU && var94 == EU96 ) || ( var2 == E1 && var3 == MH && var66 == JANALU && var94 == EU96 ) || ( var2 == E1 && var3 == MG && var66 == JANTOL && var94 == EU96 ) || ( var2 == E1 && var3 == MG && var66 == JANALU && var94 == EU96 ) || ( var2 == E1 && var3 == MY && var66 == JANTOL && var94 == EU96 ) || ( var2 == E1 && var3 == MY && var66 == JANALU && var94 == EU96 ) || ( var2 == E1 && var3 == NM2K && var66 == JANTOL && var94 == EU00 ) || ( var2 == E1 && var3 == NM2K && var66 == JANALU && var94 == EU00 ) || ( var2 == E1 && var3 == NM0C && var66 == JANTOL && var94 == EU00 ) || ( var2 == E1 && var3 == NM0C && var66 == JANALU && var94 == EU00 ) || ( var2 == E1 && var3 == ND1G && var66 == JANTOL && var94 == EU00 ) || ( var2 == E1 && var3 == ND1G && var66 == JANALU && var94 == EU00 ) || ( var2 == E2 && var3 == M5 && var66 == JANTOL && var94 == EU00 ) || ( var2 == E2 && var3 == M5 && var66 == JANALU && var94 == EU00 ) || ( var2 == E2 && var3 == M6 && var66 == JANTOL && var94 == EU93 ) || ( var2 == E2 && var3 == M7 && var66 == JANTOL && var94 == CRIT1503 ) || ( var2 == E2 && var3 == M7 && var66 == JANALU && var94 == CRIT1503 ) || ( var2 == E2 && var3 == M8 && var66 == JANTOL && var94 == EU96 ) || ( var2 == E2 && var3 == M8 && var66 == JANALU && var94 == EU96 ) || ( var2 == E2 && var3 == M9 && var66 == JANTOL && var94 == EU93 ) || ( var2 == E2 && var3 == M9 && var66 == JANALU && var94 == EU93 ) || ( var2 == E2 && var3 == MA && var66 == JANTOL && var94 == EU96 ) || ( var2 == E2 && var3 == MB && var66 == JANTOL && var94 == EU00 ) || ( var2 == E2 && var3 == MB && var66 == JANALU && var94 == EU00 ) || ( var2 == E2 && var3 == MC && var66 == JANALU && var94 == EU00 ) || ( var2 == E2 && var3 == MD && var66 == JANTOL && var94 == EU00 ) || ( var2 == E2 && var3 == MD && var66 == JANALU && var94 == EU00 ) || ( var2 == E2 && var3 == ME && var66 == JANTOL && var94 == EU96 ) || ( var2 == E2 && var3 == MF && var66 == JANTOL && var94 == EU96 ) || ( var2 == E2 && var3 == MF && var66 == JANALU && var94 == EU96 ) || ( var2 == E2 && var3 == MJ && var66 == JANTOL && var94 == EU96 ) || ( var2 == E2 && var3 == MK && var66 == JANTOL && var94 == EU96 ) || ( var2 == E2 && var3 == MK && var66 == JANALU && var94 == EU96 ) || ( var2 == E2 && var3 == ML && var66 == JANTOL && var94 == EU96 ) || ( var2 == E2 && var3 == MM && var66 == JANTOL && var94 == EU00 ) || ( var2 == E2 && var3 == MM && var66 == JANALU && var94 == EU00 ) || ( var2 == E2 && var3 == MS && var66 == JANTOL && var94 == CRIT1503 ) || ( var2 == E2 && var3 == MS && var66 == JANALU && var94 == CRIT1503 ) || ( var2 == E2 && var3 == MT && var66 == JANTOL && var94 == EU96 ) || ( var2 == E2 && var3 == MU && var66 == JANTOL && var94 == EU93 ) || ( var2 == E2 && var3 == MN && var66 == JANTOL && var94 == EU96 ) || ( var2 == E2 && var3 == MN && var66 == JANALU && var94 == EU96 ) || ( var2 == E2 && var3 == MH && var66 == JANALU && var94 == EU96 ) || ( var2 == E2 && var3 == MG && var66 == JANTOL && var94 == EU96 ) || ( var2 == E2 && var3 == MG && var66 == JANALU && var94 == EU96 ) || ( var2 == E2 && var3 == MY && var66 == JANTOL && var94 == EU96 ) || ( var2 == E2 && var3 == MY && var66 == JANALU && var94 == EU96 ) || ( var2 == E2 && var3 == NM2K && var66 == JANTOL && var94 == EU00 ) || ( var2 == E2 && var3 == NM2K && var66 == JANALU && var94 == EU00 ) || ( var2 == E2 && var3 == NM0C && var66 == JANTOL && var94 == EU00 ) || ( var2 == E2 && var3 == NM0C && var66 == JANALU && var94 == EU00 ) || ( var2 == E2 && var3 == ND1G && var66 == JANTOL && var94 == EU00 ) || ( var2 == E2 && var3 == ND1G && var66 == JANALU && var94 == EU00 ) || ( var2 == E3 && var3 == M5 && var66 == JANTOL && var94 == EU00 ) || ( var2 == E3 && var3 == M5 && var66 == JANALU && var94 == EU00 ) || ( var2 == E3 && var3 == M6 && var66 == JANTOL && var94 == EU93 ) || ( var2 == E3 && var3 == M7 && var66 == JANTOL && var94 == CRIT1503 ) || ( var2 == E3 && var3 == M7 && var66 == JANALU && var94 == CRIT1503 ) || ( var2 == E3 && var3 == M8 && var66 == JANTOL && var94 == EU96 ) || ( var2 == E3 && var3 == M8 && var66 == JANALU && var94 == EU96 ) || ( var2 == E3 && var3 == M9 && var66 == JANTOL && var94 == EU93 ) || ( var2 == E3 && var3 == M9 && var66 == JANALU && var94 == EU93 ) || ( var2 == E3 && var3 == MA && var66 == JANTOL && var94 == EU96 ) || ( var2 == E3 && var3 == MB && var66 == JANTOL && var94 == EU00 ) || ( var2 == E3 && var3 == MB && var66 == JANALU && var94 == EU00 ) || ( var2 == E3 && var3 == MC && var66 == JANALU && var94 == EU00 ) || ( var2 == E3 && var3 == MD && var66 == JANTOL && var94 == EU00 ) || ( var2 == E3 && var3 == MD && var66 == JANALU && var94 == EU00 ) || ( var2 == E3 && var3 == ME && var66 == JANTOL && var94 == EU96 ) || ( var2 == E3 && var3 == MF && var66 == JANTOL && var94 == EU96 ) || ( var2 == E3 && var3 == MF && var66 == JANALU && var94 == EU96 ) || ( var2 == E3 && var3 == MJ && var66 == JANTOL && var94 == EU96 ) || ( var2 == E3 && var3 == MK && var66 == JANTOL && var94 == EU96 ) || ( var2 == E3 && var3 == MK && var66 == JANALU && var94 == EU96 ) || ( var2 == E3 && var3 == ML && var66 == JANTOL && var94 == EU96 ) || ( var2 == E3 && var3 == MM && var66 == JANTOL && var94 == EU00 ) || ( var2 == E3 && var3 == MM && var66 == JANALU && var94 == EU00 ) || ( var2 == E3 && var3 == MS && var66 == JANTOL && var94 == CRIT1503 ) || ( var2 == E3 && var3 == MS && var66 == JANALU && var94 == CRIT1503 ) || ( var2 == E3 && var3 == MT && var66 == JANTOL && var94 == EU96 ) || ( var2 == E3 && var3 == MU && var66 == JANTOL && var94 == EU93 ) || ( var2 == E3 && var3 == MN && var66 == JANTOL && var94 == EU96 ) || ( var2 == E3 && var3 == MN && var66 == JANALU && var94 == EU96 ) || ( var2 == E3 && var3 == MH && var66 == JANALU && var94 == EU96 ) || ( var2 == E3 && var3 == MG && var66 == JANTOL && var94 == EU96 ) || ( var2 == E3 && var3 == MG && var66 == JANALU && var94 == EU96 ) || ( var2 == E3 && var3 == MY && var66 == JANTOL && var94 == EU96 ) || ( var2 == E3 && var3 == MY && var66 == JANALU && var94 == EU96 ) || ( var2 == E3 && var3 == NM2K && var66 == JANTOL && var94 == EU00 ) || ( var2 == E3 && var3 == NM2K && var66 == JANALU && var94 == EU00 ) || ( var2 == E3 && var3 == NM0C && var66 == JANTOL && var94 == EU00 ) || ( var2 == E3 && var3 == NM0C && var66 == JANALU && var94 == EU00 ) || ( var2 == E3 && var3 == ND1G && var66 == JANTOL && var94 == EU00 ) || ( var2 == E3 && var3 == ND1G && var66 == JANALU && var94 == EU00 ) || ( var2 == E5 && var3 == M5 && var66 == JANTOL && var94 == EU00 ) || ( var2 == E5 && var3 == M5 && var66 == JANALU && var94 == EU00 ) || ( var2 == E5 && var3 == M6 && var66 == JANTOL && var94 == EU93 ) || ( var2 == E5 && var3 == M7 && var66 == JANTOL && var94 == CRIT1503 ) || ( var2 == E5 && var3 == M7 && var66 == JANALU && var94 == CRIT1503 ) || ( var2 == E5 && var3 == M8 && var66 == JANALU && var94 == EU96 ) || ( var2 == E5 && var3 == M9 && var66 == JANTOL && var94 == EU93 ) || ( var2 == E5 && var3 == M9 && var66 == JANALU && var94 == EU93 ) || ( var2 == E5 && var3 == MA && var66 == JANTOL && var94 == EU96 ) || ( var2 == E5 && var3 == MB && var66 == JANTOL && var94 == EU00 ) || ( var2 == E5 && var3 == MB && var66 == JANALU && var94 == EU00 ) || ( var2 == E5 && var3 == MC && var66 == JANALU && var94 == EU00 ) || ( var2 == E5 && var3 == MD && var66 == JANTOL && var94 == EU00 ) || ( var2 == E5 && var3 == MD && var66 == JANALU && var94 == EU00 ) || ( var2 == E5 && var3 == ME && var66 == JANTOL && var94 == EU96 ) || ( var2 == E5 && var3 == MF && var66 == JANTOL && var94 == EU96 ) || ( var2 == E5 && var3 == MF && var66 == JANALU && var94 == EU96 ) || ( var2 == E5 && var3 == MJ && var66 == JANTOL && var94 == EU96 ) || ( var2 == E5 && var3 == MK && var66 == JANALU && var94 == EU96 ) || ( var2 == E5 && var3 == ML && var66 == JANTOL && var94 == EU96 ) || ( var2 == E5 && var3 == MM && var66 == JANTOL && var94 == EU00 ) || ( var2 == E5 && var3 == MM && var66 == JANALU && var94 == EU00 ) || ( var2 == E5 && var3 == MS && var66 == JANTOL && var94 == CRIT1503 ) || ( var2 == E5 && var3 == MS && var66 == JANALU && var94 == CRIT1503 ) || ( var2 == E5 && var3 == MT && var66 == JANTOL && var94 == EU96 ) || ( var2 == E5 && var3 == MU && var66 == JANTOL && var94 == EU93 ) || ( var2 == E5 && var3 == MN && var66 == JANALU && var94 == EU96 ) || ( var2 == E5 && var3 == MH && var66 == JANALU && var94 == EU96 ) || ( var2 == E5 && var3 == MG && var66 == JANALU && var94 == EU96 ) || ( var2 == E5 && var3 == MY && var66 == JANALU && var94 == EU96 ) || ( var2 == E5 && var3 == NM2K && var66 == JANTOL && var94 == EU00 ) || ( var2 == E5 && var3 == NM2K && var66 == JANALU && var94 == EU00 ) || ( var2 == E5 && var3 == NM0C && var66 == JANTOL && var94 == EU00 ) || ( var2 == E5 && var3 == NM0C && var66 == JANALU && var94 == EU00 ) || ( var2 == E5 && var3 == ND1G && var66 == JANTOL && var94 == EU00 ) || ( var2 == E5 && var3 == ND1G && var66 == JANALU && var94 == EU00 ) ) ); ( ( ( var1 == S64 && var2 == E1 && var3 == M9 && var75 == MOCY07 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var75 == MOCY06 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var75 == MOCY06 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var75 == MOCY07 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var75 == MOCY06 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var75 == MOCY07 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var75 == MOCY07 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var75 == MOCY06 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var75 == MOCY06 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var75 == MOCY07 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var75 == MOCY06 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var75 == MOCY07 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var75 == MOCY08 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var75 == MOCY06 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var75 == MOCY05 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var75 == MOCY08 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var75 == MOCY07 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var75 == MOCY06 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var75 == MOCY05 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var75 == MOCY10 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var75 == MOCY04 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var75 == MOCY02 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var75 == MOCY04 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var75 == MOCY06 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var75 == MOCY07 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var75 == MOCY03 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var75 == MOCY01 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var75 == MOCY04 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var75 == SSMOCY && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var75 == MOCY01 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var75 == MOCY06 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var75 == MOCY08 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var75 == MOCY10 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var75 == MOCY05 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var75 == MOCY07 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var75 == MOCY05 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var75 == MOCY11 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var75 == MOCY06 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var75 == MOCY08 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var75 == MOCY06 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var75 == MOCY05 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var75 == MOCY08 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var75 == MOCY07 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var75 == MOCY06 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var75 == MOCY05 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var75 == MOCY10 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var75 == MOCY04 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var75 == MOCY02 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var75 == MOCY04 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var75 == MOCY06 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var75 == MOCY07 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var75 == MOCY03 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var75 == MOCY01 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var75 == MOCY04 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var75 == SSMOCY && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var75 == MOCY01 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var75 == MOCY06 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var75 == MOCY08 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var75 == MOCY10 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var75 == MOCY05 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var75 == MOCY07 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var75 == MOCY05 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var75 == MOCY11 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var75 == MOCY06 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var75 == MOCY08 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var75 == MOCY06 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var75 == MOCY05 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var75 == MOCY08 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var75 == MOCY07 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var75 == MOCY06 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var75 == MOCY05 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var75 == MOCY10 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var75 == MOCY04 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var75 == MOCY02 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var75 == MOCY04 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var75 == MOCY06 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var75 == MOCY07 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var75 == MOCY03 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var75 == MOCY01 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var75 == MOCY04 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var75 == SSMOCY && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var75 == MOCY01 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var75 == MOCY06 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var75 == MOCY08 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var75 == MOCY10 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var75 == MOCY05 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var75 == MOCY07 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var75 == MOCY05 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var75 == MOCY11 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var75 == MOCY06 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var75 == MOCY05 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var75 == MOCY10 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var75 == MOCY04 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var75 == MOCY10 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var75 == MOCY05 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var75 == MOCY05 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var75 == MOCY05 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var75 == MOCY10 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var75 == MOCY04 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var75 == MOCY10 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var75 == MOCY05 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var75 == MOCY05 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var75 == MOCY05 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var75 == MOCY10 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var75 == MOCY04 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var75 == MOCY10 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var75 == MOCY05 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var75 == MOCY05 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var75 == MOCY05 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var75 == MOCY10 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var75 == MOCY04 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var75 == MOCY10 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var75 == MOCY05 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var75 == MOCY05 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var75 == MOCY05 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var75 == MOCY10 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var75 == MOCY04 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var75 == MOCY10 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var75 == SSMOCY && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var75 == MOCY05 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var75 == MOCY08 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var75 == MOCY06 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var75 == MOCY05 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var75 == MOCY10 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var75 == MOCY04 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var75 == MOCY02 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var75 == MOCY04 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var75 == MOCY06 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var75 == MOCY07 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var75 == MOCY03 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var75 == MOCY01 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var75 == SSMOCY && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var75 == MOCY01 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var75 == MOCY12 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var75 == MOCY10 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var75 == MOCY05 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var75 == MOCY07 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var75 == MOCY05 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var75 == MOCY11 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var75 == MOCY06 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var75 == MOCY08 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var75 == MOCY06 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var75 == MOCY05 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var75 == MOCY10 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var75 == MOCY04 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var75 == MOCY02 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var75 == MOCY04 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var75 == MOCY06 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var75 == MOCY07 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var75 == MOCY03 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var75 == MOCY01 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var75 == SSMOCY && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var75 == MOCY01 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var75 == MOCY12 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var75 == MOCY10 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var75 == MOCY05 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var75 == MOCY07 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var75 == MOCY05 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var75 == MOCY11 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var75 == MOCY06 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var75 == MOCY08 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var75 == MOCY06 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var75 == MOCY05 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var75 == MOCY07 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var75 == MOCY06 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var75 == MOCY05 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var75 == MOCY10 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var75 == MOCY04 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var75 == MOCY02 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var75 == MOCY04 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var75 == MOCY06 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var75 == MOCY07 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var75 == MOCY03 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var75 == MOCY01 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var75 == MOCY04 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var75 == SSMOCY && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var75 == MOCY01 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var75 == MOCY06 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var75 == MOCY08 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var75 == MOCY10 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var75 == MOCY05 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var75 == MOCY07 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var75 == MOCY05 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var75 == MOCY11 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var75 == MOCY06 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var75 == MOCY08 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var75 == MOCY06 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var75 == MOCY05 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var75 == MOCY07 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var75 == MOCY06 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var75 == MOCY05 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var75 == MOCY10 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var75 == MOCY04 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var75 == MOCY02 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var75 == MOCY04 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var75 == MOCY06 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var75 == MOCY07 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var75 == MOCY03 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var75 == MOCY01 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var75 == MOCY04 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var75 == SSMOCY && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var75 == MOCY01 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var75 == MOCY06 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var75 == MOCY08 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var75 == MOCY10 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var75 == MOCY05 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var75 == MOCY07 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var75 == MOCY05 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var75 == MOCY11 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var75 == MOCY06 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var75 == MOCY08 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var75 == MOCY06 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var75 == MOCY05 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var75 == MOCY08 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var75 == MOCY07 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var75 == MOCY06 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var75 == MOCY05 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var75 == MOCY10 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var75 == MOCY04 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var75 == MOCY02 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var75 == MOCY04 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var75 == MOCY06 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var75 == MOCY07 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var75 == MOCY03 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var75 == MOCY01 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var75 == MOCY04 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var75 == SSMOCY && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var75 == MOCY01 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var75 == MOCY06 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var75 == MOCY08 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var75 == MOCY10 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var75 == MOCY05 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var75 == MOCY07 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var75 == MOCY05 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var75 == MOCY11 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var75 == MOCY06 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var75 == MOCY08 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var75 == MOCY06 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var75 == MOCY05 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var75 == MOCY08 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var75 == MOCY07 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var75 == MOCY06 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var75 == MOCY05 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var75 == MOCY10 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var75 == MOCY04 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var75 == MOCY02 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var75 == MOCY04 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var75 == MOCY06 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var75 == MOCY07 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var75 == MOCY03 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var75 == MOCY01 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var75 == MOCY04 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var75 == SSMOCY && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var75 == MOCY01 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var75 == MOCY06 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var75 == MOCY08 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var75 == MOCY10 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var75 == MOCY05 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var75 == MOCY07 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var75 == MOCY05 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var75 == MOCY11 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var75 == MOCY06 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var75 == MOCY08 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var75 == MOCY06 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var75 == MOCY05 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var75 == MOCY08 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var75 == MOCY07 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var75 == MOCY06 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var75 == MOCY05 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var75 == MOCY10 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var75 == MOCY04 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var75 == MOCY02 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var75 == MOCY04 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var75 == MOCY06 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var75 == MOCY07 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var75 == MOCY03 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var75 == MOCY01 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var75 == MOCY04 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var75 == SSMOCY && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var75 == MOCY01 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var75 == MOCY06 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var75 == MOCY08 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var75 == MOCY10 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var75 == MOCY05 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var75 == MOCY07 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var75 == MOCY05 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var75 == MOCY11 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var75 == MOCY06 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var75 == MOCY08 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var75 == MOCY06 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var75 == MOCY05 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var75 == SSMOCY && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var75 == MOCY07 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var75 == MOCY06 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var75 == MOCY05 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var75 == MOCY10 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var75 == MOCY04 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var75 == MOCY02 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var75 == MOCY04 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var75 == MOCY06 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var75 == SSMOCY && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var75 == MOCY03 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var75 == MOCY01 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var75 == MOCY04 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var75 == SSMOCY && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var75 == MOCY01 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var75 == MOCY06 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var75 == SSMOCY && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var75 == MOCY10 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var75 == SSMOCY && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var75 == SSMOCY && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var75 == MOCY05 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var75 == MOCY11 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var75 == MOCY06 && var94 == EU00 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var75 == MOCY06 && var94 == EU96 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var75 == MOCY07 && var94 == EU96 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var75 == MOCY07 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var75 == MOCY06 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var75 == MOCY04 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var75 == MOCY02 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var75 == MOCY04 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var75 == MOCY06 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var75 == MOCY03 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var75 == MOCY06 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var75 == MOCY04 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var75 == MOCY02 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var75 == MOCY04 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var75 == MOCY06 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var75 == MOCY03 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var75 == MOCY06 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var75 == MOCY04 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var75 == MOCY02 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var75 == MOCY04 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var75 == MOCY06 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var75 == MOCY03 && var94 == EU96 ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var75 == MOCY06 && var94 == EU96 ) ) ); ( ( ( var1 == S64 && var2 == E1 && var3 == M9 && var87 == MONORM && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var87 == SURMO1 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var87 == MONORM && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var87 == MONORM && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var87 == MONORM && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var87 == SURMO1 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var87 == MONORM && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var87 == MONORM && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var87 == SURMO1 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var87 == MONORM && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var87 == SURMO1 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var87 == MONORM && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var87 == MONORM && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var87 == MONORM && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var87 == SURMO1 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var87 == MONORM && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var87 == MONORM && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var87 == SURMO1 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var87 == MONORM && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var87 == MONORM && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var87 == MONORM && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var87 == MONORM && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var87 == MONORM && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var87 == SURMO1 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var87 == MONORM && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var87 == MONORM && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var87 == SURMO1 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var87 == MONORM && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var87 == MONORM && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var87 == MONORM && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var87 == MONORM && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var87 == MONORM && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var87 == MONORM && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var87 == SURMO1 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var87 == MONORM && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var87 == MONORM && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var87 == MONORM && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var87 == MONORM && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var87 == MONORM && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var87 == MONORM && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var87 == MONORM && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var87 == MONORM && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var87 == SURMO1 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var87 == MONORM && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var87 == SURMO1 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var87 == MONORM && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var87 == SURMO1 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var87 == MONORM && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var87 == MONORM && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var87 == MONORM && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var87 == MONORM && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var87 == MONORM && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var87 == MONORM && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var87 == MONORM && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var87 == SURMO1 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var87 == MONORM && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var87 == MONORM && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var87 == SURMO1 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var87 == MONORM && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var87 == MONORM && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var87 == MONORM && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var87 == MONORM && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var87 == MONORM && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var87 == MONORM && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var87 == SURMO1 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var87 == MONORM && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var87 == MONORM && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var87 == MONORM && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var87 == MONORM && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var87 == MONORM && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var87 == MONORM && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var87 == MONORM && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var87 == MONORM && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var87 == SURMO1 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var87 == MONORM && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var87 == SURMO1 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var87 == MONORM && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var87 == SURMO1 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var87 == MONORM && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var87 == MONORM && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var87 == MONORM && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var87 == MONORM && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var87 == MONORM && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var87 == MONORM && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var87 == MONORM && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var87 == SURMO1 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var87 == MONORM && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var87 == MONORM && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var87 == SURMO1 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var87 == MONORM && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var87 == MONORM && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var87 == MONORM && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var87 == MONORM && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var87 == MONORM && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var87 == MONORM && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var87 == SURMO1 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var87 == MONORM && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var87 == MONORM && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var87 == MONORM && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var87 == MONORM && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var87 == MONORM && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var87 == MONORM && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var87 == MONORM && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var87 == MONORM && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var87 == SURMO1 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var87 == MONORM && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var87 == SURMO1 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var87 == MONORM && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var87 == SURMO1 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var87 == MONORM && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var87 == MONORM && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var87 == MONORM && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var87 == SURMO1 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var87 == MONORM && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var87 == MONORM && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var87 == MONORM && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var87 == MONORM && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var87 == MONORM && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var87 == SURMO1 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var87 == MONORM && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var87 == SURMO1 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var87 == MONORM && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var87 == MONORM && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var87 == MONORM && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var87 == MONORM && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var87 == MONORM && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var87 == SURMO1 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var87 == MONORM && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var87 == SURMO1 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var87 == MONORM && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var87 == MONORM && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var87 == MONORM && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var87 == MONORM && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var87 == MONORM && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var87 == SURMO1 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var87 == MONORM && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var87 == SURMO1 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var87 == MONORM && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var87 == MONORM && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var87 == MONORM && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var87 == MONORM && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var87 == MONORM && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var87 == SURMO1 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var87 == MONORM && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var87 == SURMO1 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var87 == MONORM && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var87 == MONORM && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var87 == MONORM && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var87 == MONORM && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var87 == MONORM && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var87 == SURMO1 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var87 == MONORM && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var87 == MONORM && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var87 == MONORM && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var87 == MONORM && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var87 == SURMO1 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var87 == MONORM && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var87 == MONORM && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var87 == MONORM && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var87 == MONORM && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var87 == SURMO1 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var87 == MONORM && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var87 == MONORM && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var87 == MONORM && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var87 == MONORM && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var87 == MONORM && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var87 == MONORM && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var87 == MONORM && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var87 == MONORM && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var87 == SURMO1 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var87 == MONORM && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var87 == MONORM && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var87 == SURMO1 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var87 == MONORM && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var87 == MONORM && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var87 == MONORM && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var87 == MONORM && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var87 == MONORM && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var87 == MONORM && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var87 == SURMO1 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var87 == MONORM && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var87 == MONORM && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var87 == MONORM && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var87 == MONORM && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var87 == SURMO1 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var87 == MONORM && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var87 == MONORM && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var87 == MONORM && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var87 == MONORM && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var87 == MONORM && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var87 == MONORM && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var87 == MONORM && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var87 == MONORM && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var87 == SURMO1 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var87 == MONORM && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var87 == MONORM && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var87 == SURMO1 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var87 == MONORM && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var87 == MONORM && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var87 == MONORM && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var87 == MONORM && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var87 == MONORM && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var87 == MONORM && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var87 == MONORM && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var87 == SURMO1 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var87 == MONORM && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var87 == MONORM && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var87 == MONORM && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var87 == MONORM && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var87 == MONORM && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var87 == MONORM && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var87 == MONORM && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var87 == MONORM && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var87 == MONORM && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var87 == MONORM && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var87 == MONORM && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var87 == MONORM && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var87 == MONORM && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var87 == MONORM && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var87 == MONORM && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var87 == MONORM && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var87 == MONORM && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var87 == MONORM && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var87 == MONORM && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var87 == MONORM && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var87 == MONORM && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var87 == MONORM && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var87 == MONORM && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var87 == MONORM && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var87 == MONORM && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var87 == SURMO1 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var87 == MONORM && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var87 == MONORM && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var87 == MONORM && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var87 == MONORM && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var87 == MONORM && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var87 == MONORM && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var87 == MONORM && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var87 == MONORM && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var87 == MONORM && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var87 == MONORM && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var87 == MONORM && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var87 == MONORM && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var87 == MONORM && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var87 == MONORM && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var87 == MONORM && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var87 == MONORM && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var87 == MONORM && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var87 == MONORM && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var87 == MONORM && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var87 == MONORM && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var87 == MONORM && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var87 == MONORM && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var87 == MONORM && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var87 == MONORM && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var87 == MONORM && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var87 == SURMO1 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var87 == MONORM && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var87 == MONORM && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var87 == SURMO1 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var87 == MONORM && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var87 == MONORM && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var87 == MONORM && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var87 == MONORM && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var87 == MONORM && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var87 == MONORM && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var87 == SURMO1 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var87 == MONORM && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var87 == MONORM && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var87 == MONORM && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var87 == MONORM && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var87 == MONORM && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var87 == MONORM && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var87 == MONORM && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var87 == MONORM && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var87 == SURMO1 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var87 == MONORM && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var87 == SURMO1 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var87 == MONORM && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var87 == SURMO1 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var87 == MONORM && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var87 == MONORM && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var87 == MONORM && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var87 == MONORM && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var87 == MONORM && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var87 == MONORM && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var87 == MONORM && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var87 == SURMO1 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var87 == MONORM && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var87 == MONORM && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var87 == SURMO1 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var87 == MONORM && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var87 == MONORM && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var87 == MONORM && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var87 == MONORM && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var87 == MONORM && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var87 == MONORM && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var87 == SURMO1 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var87 == MONORM && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var87 == MONORM && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var87 == MONORM && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var87 == MONORM && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var87 == MONORM && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var87 == MONORM && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var87 == MONORM && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var87 == MONORM && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var87 == SURMO1 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var87 == MONORM && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var87 == SURMO1 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var87 == MONORM && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var87 == SURMO1 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var87 == MONORM && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var87 == MONORM && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var87 == MONORM && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var87 == MONORM && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var87 == MONORM && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var87 == MONORM && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var87 == MONORM && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var87 == SURMO1 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var87 == MONORM && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var87 == MONORM && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var87 == SURMO1 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var87 == MONORM && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var87 == MONORM && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var87 == MONORM && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var87 == MONORM && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var87 == MONORM && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var87 == MONORM && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var87 == SURMO1 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var87 == MONORM && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var87 == MONORM && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var87 == MONORM && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var87 == MONORM && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var87 == MONORM && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var87 == MONORM && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var87 == MONORM && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var87 == MONORM && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var87 == SURMO1 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var87 == MONORM && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var87 == SURMO1 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var87 == MONORM && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var87 == SURMO1 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var87 == MONORM && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var87 == MONORM && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var87 == MONORM && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var87 == MONORM && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var87 == MONORM && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var87 == MONORM && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var87 == MONORM && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var87 == SURMO1 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var87 == MONORM && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var87 == MONORM && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var87 == SURMO1 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var87 == MONORM && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var87 == MONORM && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var87 == MONORM && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var87 == MONORM && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var87 == MONORM && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var87 == MONORM && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var87 == MONORM && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var87 == MONORM && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var87 == MONORM && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var87 == MONORM && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var87 == MONORM && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var87 == MONORM && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var87 == MONORM && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var87 == MONORM && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var87 == MONORM && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var87 == MONORM && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var87 == SURMO1 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var87 == MONORM && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var87 == MONORM && var94 == EU00 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var87 == MONORM && var94 == EU96 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var87 == MONORM && var94 == EU96 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var87 == MONORM && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var87 == MONORM && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var87 == MONORM && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var87 == MONORM && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var87 == MONORM && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var87 == MONORM && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var87 == MONORM && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var87 == MONORM && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var87 == MONORM && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var87 == MONORM && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var87 == MONORM && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var87 == MONORM && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var87 == MONORM && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var87 == MONORM && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var87 == MONORM && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var87 == MONORM && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var87 == MONORM && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var87 == MONORM && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var87 == MONORM && var94 == EU96 ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var87 == MONORM && var94 == EU96 ) ) ); ( ( ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == URUG && var88 == Autre497 && var94 == EU96 ) ) || ( ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) ) || ( ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) ) || ( ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == SUED && var88 == Autre497 && var94 == EU00 ) ) || ( ( var1 == L64 && var2 == E3 && var3 == MS && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) ) || ( ( var1 == E64 && var2 == E2 && var3 == MG && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == IRLA && var88 == JANDIF && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MS && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MS && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MS && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MS && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MS && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MS && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MS && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MS && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MS && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MS && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MS && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MS && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MS && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MS && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MS && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MS && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MS && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MS && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MS && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MS && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MS && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MS && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MS && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MS && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MS && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MS && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MS && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MS && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MS && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MS && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MS && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MS && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MS && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MS && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MS && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MS && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MS && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MS && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MS && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MS && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MS && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MS && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MS && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MS && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MS && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MS && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MS && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MS && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MS && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MS && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MS && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MS && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MS && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MS && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MS && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MS && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MS && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MS && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MS && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MS && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MS && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MS && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MS && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MS && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MS && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MS && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MS && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MS && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MS && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MS && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MS && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MS && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MS && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MS && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MS && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MS && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MS && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MS && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MS && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MS && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MS && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MS && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MS && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MS && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MU && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MU && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MU && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MU && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MU && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MU && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MU && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MU && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MU && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MU && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MU && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MU && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MU && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MU && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MU && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MU && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MU && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MU && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MU && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MU && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MU && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MU && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MU && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MU && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MU && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MU && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MU && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MU && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MU && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MU && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MU && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MU && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MU && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MU && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MU && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MU && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MU && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MU && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MU && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MU && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MU && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MU && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MU && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MU && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MU && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MU && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MU && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MU && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MU && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MU && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MU && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MU && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MU && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MU && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MU && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MU && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MU && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MU && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MU && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MU && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MU && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MU && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MU && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MU && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MU && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MU && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MU && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MU && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MU && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MU && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MU && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MU && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MU && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MU && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MU && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MU && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MU && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MU && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MU && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MU && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MU && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MU && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MU && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MU && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) ) || ( ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MS && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MS && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MS && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MS && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MS && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MS && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MS && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MS && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MS && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MS && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MS && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MS && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MS && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MS && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MS && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MS && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MS && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MS && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MS && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MS && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MS && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MS && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MS && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MS && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MS && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MS && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MS && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MS && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MS && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MS && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MS && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MS && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MS && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MS && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MS && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MS && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MS && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MS && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MS && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MS && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MS && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MS && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MS && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MS && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MS && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MS && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MS && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MS && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MS && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MS && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MS && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MS && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MS && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MS && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MS && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MS && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MS && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MS && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MS && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MS && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MS && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MS && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MS && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MS && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MS && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MS && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MS && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MS && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MS && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MS && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MS && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MS && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MS && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MS && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MS && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MS && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MS && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MS && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MS && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MS && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MS && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MS && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MS && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MS && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MU && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MU && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MU && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MU && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MU && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MU && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MU && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MU && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MU && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MU && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MU && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MU && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MU && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MU && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MU && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MU && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MU && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MU && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MU && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MU && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MU && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MU && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MU && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MU && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MU && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MU && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MU && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MU && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MU && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MU && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MU && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MU && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MU && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MU && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MU && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MU && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MU && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MU && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MU && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MU && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MU && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MU && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MU && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MU && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MU && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MU && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MU && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MU && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MU && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MU && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MU && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MU && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MU && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MU && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MU && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MU && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MU && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MU && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MU && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MU && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MU && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MU && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MU && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MU && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MU && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MU && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MU && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MU && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MU && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MU && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MU && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MU && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MU && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MU && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MU && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MU && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MU && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MU && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MU && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MU && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MU && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MU && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MU && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MU && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) ) || ( ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) ) || ( ( var1 == J64 && var2 == E2 && var3 == MY && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) ) || ( ( var1 == J64 && var2 == E3 && var3 == MM && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) ) || ( ( var1 == B64 && var2 == E1 && var3 == MC && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == NORV && var88 == Autre497 && var94 == EU00 ) ) || ( ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) ) || ( ( var1 == B64 && var2 == E2 && var3 == MN && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) ) || ( ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) ) || ( ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) ) || ( ( var1 == B64 && var2 == E5 && var3 == MY && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) ) || ( ( var1 == K25 && var2 == E3 && var3 == MD && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == FRAN && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == FRAN && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == FRAN && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == DOTO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == DOTO && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == DOTO && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == ALLE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == ALLE && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == ALLE && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == AUTR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == AUTR && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == AUTR && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == BELG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == BELG && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == BELG && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == DANE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == DANE && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == DANE && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == ESPA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == ESPA && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == ESPA && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == FINL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == FINL && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == FINL && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == GRBR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == GRBR && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == GRBR && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == GREC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == GREC && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == GREC && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == HOLL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == HOLL && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == HOLL && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == IRLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == IRLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == IRLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == ISLA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == ISLA && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == ISLA && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == ITAL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == ITAL && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == ITAL && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == NORV && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == NORV && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == NORV && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == PORT && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == PORT && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == PORT && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == SUED && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == SUED && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == SUED && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == SUIS && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == SUIS && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == SUIS && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == YOUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == YOUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == YOUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == MAGH && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == MAGH && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == MAGH && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == TURQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == TURQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == TURQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == ARGE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == ARGE && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == ARGE && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == BRES && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == BRES && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == BRES && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == CHIL && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == CHIL && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == CHIL && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == COLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == COLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == COLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == AFSU && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == AFSU && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == AFSU && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == MARO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == MARO && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == MARO && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == ISRA && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == ISRA && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == ISRA && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == HONG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == HONG && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == HONG && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == TCHE && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == TCHE && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == TCHE && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == POLO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == POLO && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == POLO && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == SLVQ && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == SLVQ && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == SLVQ && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == JAPO && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == JAPO && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == JAPO && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == TAIW && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == TAIW && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == TAIW && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == AUST && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == AUST && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == AUST && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == URUG && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == URUG && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == URUG && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == DAIB && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == DAIB && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == DAIB && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == DAIC && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == DAIC && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == DAIC && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == DAID && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == DAID && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == DAID && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == DAIF && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == DAIF && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == DAIF && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == EUOR && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == EUOR && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == EUOR && var88 == Autre497 && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == CETI && var88 == Autre497 && var94 == CRIT1503 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == CETI && var88 == Autre497 && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == CETI && var88 == Autre497 && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == CETI && var88 == Autre497 && var94 == EU00 ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == FRAN && var88 == Autre497 && var94 == EU96 ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == DOTO && var88 == Autre497 && var94 == EU96 ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == ALLE && var88 == Autre497 && var94 == EU96 ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == AUTR && var88 == Autre497 && var94 == EU96 ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == BELG && var88 == Autre497 && var94 == EU96 ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == DANE && var88 == Autre497 && var94 == EU96 ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == ESPA && var88 == Autre497 && var94 == EU96 ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == FINL && var88 == Autre497 && var94 == EU96 ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == GRBR && var88 == Autre497 && var94 == EU96 ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == GREC && var88 == Autre497 && var94 == EU96 ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == HOLL && var88 == Autre497 && var94 == EU96 ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == IRLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == ISLA && var88 == Autre497 && var94 == EU96 ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == ITAL && var88 == Autre497 && var94 == EU96 ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == NORV && var88 == Autre497 && var94 == EU96 ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == PORT && var88 == Autre497 && var94 == EU96 ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == SUED && var88 == Autre497 && var94 == EU96 ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == SUIS && var88 == Autre497 && var94 == EU96 ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == YOUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == MAGH && var88 == Autre497 && var94 == EU96 ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == TURQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == ARGE && var88 == Autre497 && var94 == EU96 ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == BRES && var88 == Autre497 && var94 == EU96 ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == CHIL && var88 == Autre497 && var94 == EU96 ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == COLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == AFSU && var88 == Autre497 && var94 == EU96 ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == MARO && var88 == Autre497 && var94 == EU96 ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == ISRA && var88 == Autre497 && var94 == EU96 ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == HONG && var88 == Autre497 && var94 == EU96 ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == TCHE && var88 == Autre497 && var94 == EU96 ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == POLO && var88 == Autre497 && var94 == EU96 ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == SLVQ && var88 == Autre497 && var94 == EU96 ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == JAPO && var88 == Autre497 && var94 == EU96 ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == TAIW && var88 == Autre497 && var94 == EU96 ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == AUST && var88 == Autre497 && var94 == EU96 ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == URUG && var88 == Autre497 && var94 == EU96 ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == DAIB && var88 == Autre497 && var94 == EU96 ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == DAIC && var88 == Autre497 && var94 == EU96 ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == DAID && var88 == Autre497 && var94 == EU96 ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == DAIF && var88 == Autre497 && var94 == EU96 ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == EUOR && var88 == Autre497 && var94 == EU96 ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == CETI && var88 == Autre497 && var94 == EU96 ) ) ); ( ( ( var1 == S64 && var3 == M9 && var44 == Autre272 && var94 == EU93 ) || ( var1 == S64 && var3 == M9 && var44 == Autre272 && var94 == EU96 ) || ( var1 == S64 && var3 == MA && var44 == Autre272 && var94 == EU93 ) || ( var1 == S64 && var3 == MA && var44 == Autre272 && var94 == EU96 ) || ( var1 == S64 && var3 == MJ && var44 == Autre272 && var94 == EU93 ) || ( var1 == S64 && var3 == MJ && var44 == Autre272 && var94 == EU96 ) || ( var1 == S64 && var3 == MK && var44 == Autre272 && var94 == EU93 ) || ( var1 == S64 && var3 == MK && var44 == Autre272 && var94 == EU96 ) || ( var1 == S64 && var3 == MU && var44 == Autre272 && var94 == EU93 ) || ( var1 == S64 && var3 == MU && var44 == Autre272 && var94 == EU96 ) || ( var1 == S64 && var3 == MY && var44 == Autre272 && var94 == EU93 ) || ( var1 == S64 && var3 == MY && var44 == Autre272 && var94 == EU96 ) || ( var1 == L64 && var3 == M5 && var44 == Autre272 && var94 == CRIT1503 ) || ( var1 == L64 && var3 == M5 && var44 == Autre272 && var94 == EU93 ) || ( var1 == L64 && var3 == M5 && var44 == Autre272 && var94 == EU96 ) || ( var1 == L64 && var3 == M5 && var44 == Autre272 && var94 == EU00 ) || ( var1 == L64 && var3 == M6 && var44 == Autre272 && var94 == CRIT1503 ) || ( var1 == L64 && var3 == M6 && var44 == Autre272 && var94 == EU93 ) || ( var1 == L64 && var3 == M6 && var44 == Autre272 && var94 == EU96 ) || ( var1 == L64 && var3 == M6 && var44 == Autre272 && var94 == EU00 ) || ( var1 == L64 && var3 == M7 && var44 == Autre272 && var94 == CRIT1503 ) || ( var1 == L64 && var3 == M7 && var44 == Autre272 && var94 == EU93 ) || ( var1 == L64 && var3 == M7 && var44 == Autre272 && var94 == EU96 ) || ( var1 == L64 && var3 == M7 && var44 == Autre272 && var94 == EU00 ) || ( var1 == L64 && var3 == M8 && var44 == Autre272 && var94 == CRIT1503 ) || ( var1 == L64 && var3 == M8 && var44 == Autre272 && var94 == EU93 ) || ( var1 == L64 && var3 == M8 && var44 == Autre272 && var94 == EU96 ) || ( var1 == L64 && var3 == M8 && var44 == Autre272 && var94 == EU00 ) || ( var1 == L64 && var3 == M9 && var44 == Autre272 && var94 == CRIT1503 ) || ( var1 == L64 && var3 == M9 && var44 == Autre272 && var94 == EU93 ) || ( var1 == L64 && var3 == M9 && var44 == Autre272 && var94 == EU96 ) || ( var1 == L64 && var3 == M9 && var44 == Autre272 && var94 == EU00 ) || ( var1 == L64 && var3 == MA && var44 == Autre272 && var94 == CRIT1503 ) || ( var1 == L64 && var3 == MA && var44 == Autre272 && var94 == EU93 ) || ( var1 == L64 && var3 == MA && var44 == Autre272 && var94 == EU96 ) || ( var1 == L64 && var3 == MA && var44 == Autre272 && var94 == EU00 ) || ( var1 == L64 && var3 == MB && var44 == Autre272 && var94 == CRIT1503 ) || ( var1 == L64 && var3 == MB && var44 == Autre272 && var94 == EU93 ) || ( var1 == L64 && var3 == MB && var44 == Autre272 && var94 == EU96 ) || ( var1 == L64 && var3 == MB && var44 == Autre272 && var94 == EU00 ) || ( var1 == L64 && var3 == MC && var44 == Autre272 && var94 == CRIT1503 ) || ( var1 == L64 && var3 == MC && var44 == Autre272 && var94 == EU93 ) || ( var1 == L64 && var3 == MC && var44 == Autre272 && var94 == EU96 ) || ( var1 == L64 && var3 == MC && var44 == Autre272 && var94 == EU00 ) || ( var1 == L64 && var3 == MD && var44 == Autre272 && var94 == CRIT1503 ) || ( var1 == L64 && var3 == MD && var44 == Autre272 && var94 == EU93 ) || ( var1 == L64 && var3 == MD && var44 == Autre272 && var94 == EU96 ) || ( var1 == L64 && var3 == MD && var44 == Autre272 && var94 == EU00 ) || ( var1 == L64 && var3 == ME && var44 == Autre272 && var94 == CRIT1503 ) || ( var1 == L64 && var3 == ME && var44 == Autre272 && var94 == EU93 ) || ( var1 == L64 && var3 == ME && var44 == Autre272 && var94 == EU96 ) || ( var1 == L64 && var3 == ME && var44 == Autre272 && var94 == EU00 ) || ( var1 == L64 && var3 == MF && var44 == PREGPL && var94 == EU96 ) || ( var1 == L64 && var3 == MF && var44 == EQGPL && var94 == EU96 ) || ( var1 == L64 && var3 == MF && var44 == Autre272 && var94 == CRIT1503 ) || ( var1 == L64 && var3 == MF && var44 == Autre272 && var94 == EU93 ) || ( var1 == L64 && var3 == MF && var44 == Autre272 && var94 == EU96 ) || ( var1 == L64 && var3 == MF && var44 == Autre272 && var94 == EU00 ) || ( var1 == L64 && var3 == MJ && var44 == Autre272 && var94 == CRIT1503 ) || ( var1 == L64 && var3 == MJ && var44 == Autre272 && var94 == EU93 ) || ( var1 == L64 && var3 == MJ && var44 == Autre272 && var94 == EU96 ) || ( var1 == L64 && var3 == MJ && var44 == Autre272 && var94 == EU00 ) || ( var1 == L64 && var3 == MK && var44 == Autre272 && var94 == CRIT1503 ) || ( var1 == L64 && var3 == MK && var44 == Autre272 && var94 == EU93 ) || ( var1 == L64 && var3 == MK && var44 == Autre272 && var94 == EU96 ) || ( var1 == L64 && var3 == MK && var44 == Autre272 && var94 == EU00 ) || ( var1 == L64 && var3 == ML && var44 == Autre272 && var94 == CRIT1503 ) || ( var1 == L64 && var3 == ML && var44 == Autre272 && var94 == EU93 ) || ( var1 == L64 && var3 == ML && var44 == Autre272 && var94 == EU96 ) || ( var1 == L64 && var3 == ML && var44 == Autre272 && var94 == EU00 ) || ( var1 == L64 && var3 == MM && var44 == Autre272 && var94 == CRIT1503 ) || ( var1 == L64 && var3 == MM && var44 == Autre272 && var94 == EU93 ) || ( var1 == L64 && var3 == MM && var44 == Autre272 && var94 == EU96 ) || ( var1 == L64 && var3 == MM && var44 == Autre272 && var94 == EU00 ) || ( var1 == L64 && var3 == MS && var44 == Autre272 && var94 == CRIT1503 ) || ( var1 == L64 && var3 == MS && var44 == Autre272 && var94 == EU93 ) || ( var1 == L64 && var3 == MS && var44 == Autre272 && var94 == EU96 ) || ( var1 == L64 && var3 == MS && var44 == Autre272 && var94 == EU00 ) || ( var1 == L64 && var3 == MT && var44 == Autre272 && var94 == CRIT1503 ) || ( var1 == L64 && var3 == MT && var44 == Autre272 && var94 == EU93 ) || ( var1 == L64 && var3 == MT && var44 == Autre272 && var94 == EU96 ) || ( var1 == L64 && var3 == MT && var44 == Autre272 && var94 == EU00 ) || ( var1 == L64 && var3 == MU && var44 == Autre272 && var94 == CRIT1503 ) || ( var1 == L64 && var3 == MU && var44 == Autre272 && var94 == EU93 ) || ( var1 == L64 && var3 == MU && var44 == Autre272 && var94 == EU96 ) || ( var1 == L64 && var3 == MU && var44 == Autre272 && var94 == EU00 ) || ( var1 == L64 && var3 == MN && var44 == Autre272 && var94 == CRIT1503 ) || ( var1 == L64 && var3 == MN && var44 == Autre272 && var94 == EU93 ) || ( var1 == L64 && var3 == MN && var44 == Autre272 && var94 == EU96 ) || ( var1 == L64 && var3 == MN && var44 == Autre272 && var94 == EU00 ) || ( var1 == L64 && var3 == MH && var44 == Autre272 && var94 == CRIT1503 ) || ( var1 == L64 && var3 == MH && var44 == Autre272 && var94 == EU93 ) || ( var1 == L64 && var3 == MH && var44 == Autre272 && var94 == EU96 ) || ( var1 == L64 && var3 == MH && var44 == Autre272 && var94 == EU00 ) || ( var1 == L64 && var3 == MG && var44 == Autre272 && var94 == CRIT1503 ) || ( var1 == L64 && var3 == MG && var44 == Autre272 && var94 == EU93 ) || ( var1 == L64 && var3 == MG && var44 == Autre272 && var94 == EU96 ) || ( var1 == L64 && var3 == MG && var44 == Autre272 && var94 == EU00 ) || ( var1 == L64 && var3 == MY && var44 == Autre272 && var94 == CRIT1503 ) || ( var1 == L64 && var3 == MY && var44 == Autre272 && var94 == EU93 ) || ( var1 == L64 && var3 == MY && var44 == Autre272 && var94 == EU96 ) || ( var1 == L64 && var3 == MY && var44 == Autre272 && var94 == EU00 ) || ( var1 == L64 && var3 == NM2K && var44 == Autre272 && var94 == CRIT1503 ) || ( var1 == L64 && var3 == NM2K && var44 == Autre272 && var94 == EU93 ) || ( var1 == L64 && var3 == NM2K && var44 == Autre272 && var94 == EU96 ) || ( var1 == L64 && var3 == NM2K && var44 == Autre272 && var94 == EU00 ) || ( var1 == L64 && var3 == NM0C && var44 == Autre272 && var94 == CRIT1503 ) || ( var1 == L64 && var3 == NM0C && var44 == Autre272 && var94 == EU93 ) || ( var1 == L64 && var3 == NM0C && var44 == Autre272 && var94 == EU96 ) || ( var1 == L64 && var3 == NM0C && var44 == Autre272 && var94 == EU00 ) || ( var1 == L64 && var3 == ND1G && var44 == Autre272 && var94 == CRIT1503 ) || ( var1 == L64 && var3 == ND1G && var44 == Autre272 && var94 == EU93 ) || ( var1 == L64 && var3 == ND1G && var44 == Autre272 && var94 == EU96 ) || ( var1 == L64 && var3 == ND1G && var44 == Autre272 && var94 == EU00 ) || ( var1 == E64 && var3 == MB && var44 == Autre272 && var94 == EU96 ) || ( var1 == E64 && var3 == MB && var44 == Autre272 && var94 == EU00 ) || ( var1 == E64 && var3 == MC && var44 == Autre272 && var94 == EU96 ) || ( var1 == E64 && var3 == MC && var44 == Autre272 && var94 == EU00 ) || ( var1 == E64 && var3 == MF && var44 == Autre272 && var94 == EU96 ) || ( var1 == E64 && var3 == MF && var44 == Autre272 && var94 == EU00 ) || ( var1 == E64 && var3 == MH && var44 == Autre272 && var94 == EU96 ) || ( var1 == E64 && var3 == MH && var44 == Autre272 && var94 == EU00 ) || ( var1 == E64 && var3 == MG && var44 == Autre272 && var94 == EU96 ) || ( var1 == E64 && var3 == MG && var44 == Autre272 && var94 == EU00 ) || ( var1 == E64 && var3 == NM2K && var44 == Autre272 && var94 == EU96 ) || ( var1 == E64 && var3 == NM2K && var44 == Autre272 && var94 == EU00 ) || ( var1 == D64 && var3 == M5 && var44 == Autre272 && var94 == EU96 ) || ( var1 == D64 && var3 == M5 && var44 == Autre272 && var94 == EU00 ) || ( var1 == D64 && var3 == M6 && var44 == Autre272 && var94 == EU96 ) || ( var1 == D64 && var3 == M6 && var44 == Autre272 && var94 == EU00 ) || ( var1 == D64 && var3 == M7 && var44 == Autre272 && var94 == EU96 ) || ( var1 == D64 && var3 == M7 && var44 == Autre272 && var94 == EU00 ) || ( var1 == D64 && var3 == M8 && var44 == Autre272 && var94 == EU96 ) || ( var1 == D64 && var3 == M8 && var44 == Autre272 && var94 == EU00 ) || ( var1 == D64 && var3 == M9 && var44 == Autre272 && var94 == EU96 ) || ( var1 == D64 && var3 == M9 && var44 == Autre272 && var94 == EU00 ) || ( var1 == D64 && var3 == MA && var44 == Autre272 && var94 == EU96 ) || ( var1 == D64 && var3 == MA && var44 == Autre272 && var94 == EU00 ) || ( var1 == D64 && var3 == MB && var44 == Autre272 && var94 == EU96 ) || ( var1 == D64 && var3 == MB && var44 == Autre272 && var94 == EU00 ) || ( var1 == D64 && var3 == MC && var44 == Autre272 && var94 == EU96 ) || ( var1 == D64 && var3 == MC && var44 == Autre272 && var94 == EU00 ) || ( var1 == D64 && var3 == MD && var44 == Autre272 && var94 == EU96 ) || ( var1 == D64 && var3 == MD && var44 == Autre272 && var94 == EU00 ) || ( var1 == D64 && var3 == ME && var44 == Autre272 && var94 == EU96 ) || ( var1 == D64 && var3 == ME && var44 == Autre272 && var94 == EU00 ) || ( var1 == D64 && var3 == MF && var44 == Autre272 && var94 == EU96 ) || ( var1 == D64 && var3 == MF && var44 == Autre272 && var94 == EU00 ) || ( var1 == D64 && var3 == MJ && var44 == Autre272 && var94 == EU96 ) || ( var1 == D64 && var3 == MJ && var44 == Autre272 && var94 == EU00 ) || ( var1 == D64 && var3 == MK && var44 == Autre272 && var94 == EU96 ) || ( var1 == D64 && var3 == MK && var44 == Autre272 && var94 == EU00 ) || ( var1 == D64 && var3 == ML && var44 == Autre272 && var94 == EU96 ) || ( var1 == D64 && var3 == ML && var44 == Autre272 && var94 == EU00 ) || ( var1 == D64 && var3 == MM && var44 == Autre272 && var94 == EU96 ) || ( var1 == D64 && var3 == MM && var44 == Autre272 && var94 == EU00 ) || ( var1 == D64 && var3 == MS && var44 == Autre272 && var94 == EU96 ) || ( var1 == D64 && var3 == MS && var44 == Autre272 && var94 == EU00 ) || ( var1 == D64 && var3 == MT && var44 == Autre272 && var94 == EU96 ) || ( var1 == D64 && var3 == MT && var44 == Autre272 && var94 == EU00 ) || ( var1 == D64 && var3 == MU && var44 == Autre272 && var94 == EU96 ) || ( var1 == D64 && var3 == MU && var44 == Autre272 && var94 == EU00 ) || ( var1 == D64 && var3 == MN && var44 == Autre272 && var94 == EU96 ) || ( var1 == D64 && var3 == MN && var44 == Autre272 && var94 == EU00 ) || ( var1 == D64 && var3 == MH && var44 == Autre272 && var94 == EU96 ) || ( var1 == D64 && var3 == MH && var44 == Autre272 && var94 == EU00 ) || ( var1 == D64 && var3 == MG && var44 == Autre272 && var94 == EU96 ) || ( var1 == D64 && var3 == MG && var44 == Autre272 && var94 == EU00 ) || ( var1 == D64 && var3 == MY && var44 == Autre272 && var94 == EU96 ) || ( var1 == D64 && var3 == MY && var44 == Autre272 && var94 == EU00 ) || ( var1 == D64 && var3 == NM2K && var44 == Autre272 && var94 == EU96 ) || ( var1 == D64 && var3 == NM2K && var44 == Autre272 && var94 == EU00 ) || ( var1 == D64 && var3 == NM0C && var44 == Autre272 && var94 == EU96 ) || ( var1 == D64 && var3 == NM0C && var44 == Autre272 && var94 == EU00 ) || ( var1 == D64 && var3 == ND1G && var44 == Autre272 && var94 == EU96 ) || ( var1 == D64 && var3 == ND1G && var44 == Autre272 && var94 == EU00 ) || ( var1 == J64 && var3 == M5 && var44 == Autre272 && var94 == CRIT1503 ) || ( var1 == J64 && var3 == M5 && var44 == Autre272 && var94 == EU93 ) || ( var1 == J64 && var3 == M5 && var44 == Autre272 && var94 == EU96 ) || ( var1 == J64 && var3 == M5 && var44 == Autre272 && var94 == EU00 ) || ( var1 == J64 && var3 == M6 && var44 == Autre272 && var94 == CRIT1503 ) || ( var1 == J64 && var3 == M6 && var44 == Autre272 && var94 == EU93 ) || ( var1 == J64 && var3 == M6 && var44 == Autre272 && var94 == EU96 ) || ( var1 == J64 && var3 == M6 && var44 == Autre272 && var94 == EU00 ) || ( var1 == J64 && var3 == M7 && var44 == Autre272 && var94 == CRIT1503 ) || ( var1 == J64 && var3 == M7 && var44 == Autre272 && var94 == EU93 ) || ( var1 == J64 && var3 == M7 && var44 == Autre272 && var94 == EU96 ) || ( var1 == J64 && var3 == M7 && var44 == Autre272 && var94 == EU00 ) || ( var1 == J64 && var3 == M8 && var44 == Autre272 && var94 == CRIT1503 ) || ( var1 == J64 && var3 == M8 && var44 == Autre272 && var94 == EU93 ) || ( var1 == J64 && var3 == M8 && var44 == Autre272 && var94 == EU96 ) || ( var1 == J64 && var3 == M8 && var44 == Autre272 && var94 == EU00 ) || ( var1 == J64 && var3 == M9 && var44 == Autre272 && var94 == CRIT1503 ) || ( var1 == J64 && var3 == M9 && var44 == Autre272 && var94 == EU93 ) || ( var1 == J64 && var3 == M9 && var44 == Autre272 && var94 == EU96 ) || ( var1 == J64 && var3 == M9 && var44 == Autre272 && var94 == EU00 ) || ( var1 == J64 && var3 == MA && var44 == Autre272 && var94 == CRIT1503 ) || ( var1 == J64 && var3 == MA && var44 == Autre272 && var94 == EU93 ) || ( var1 == J64 && var3 == MA && var44 == Autre272 && var94 == EU96 ) || ( var1 == J64 && var3 == MA && var44 == Autre272 && var94 == EU00 ) || ( var1 == J64 && var3 == MB && var44 == Autre272 && var94 == CRIT1503 ) || ( var1 == J64 && var3 == MB && var44 == Autre272 && var94 == EU93 ) || ( var1 == J64 && var3 == MB && var44 == Autre272 && var94 == EU96 ) || ( var1 == J64 && var3 == MB && var44 == Autre272 && var94 == EU00 ) || ( var1 == J64 && var3 == MC && var44 == Autre272 && var94 == CRIT1503 ) || ( var1 == J64 && var3 == MC && var44 == Autre272 && var94 == EU93 ) || ( var1 == J64 && var3 == MC && var44 == Autre272 && var94 == EU96 ) || ( var1 == J64 && var3 == MC && var44 == Autre272 && var94 == EU00 ) || ( var1 == J64 && var3 == MD && var44 == Autre272 && var94 == CRIT1503 ) || ( var1 == J64 && var3 == MD && var44 == Autre272 && var94 == EU93 ) || ( var1 == J64 && var3 == MD && var44 == Autre272 && var94 == EU96 ) || ( var1 == J64 && var3 == MD && var44 == Autre272 && var94 == EU00 ) || ( var1 == J64 && var3 == ME && var44 == Autre272 && var94 == CRIT1503 ) || ( var1 == J64 && var3 == ME && var44 == Autre272 && var94 == EU93 ) || ( var1 == J64 && var3 == ME && var44 == Autre272 && var94 == EU96 ) || ( var1 == J64 && var3 == ME && var44 == Autre272 && var94 == EU00 ) || ( var1 == J64 && var3 == MF && var44 == PREGPL && var94 == EU96 ) || ( var1 == J64 && var3 == MF && var44 == EQGPL && var94 == EU96 ) || ( var1 == J64 && var3 == MF && var44 == Autre272 && var94 == CRIT1503 ) || ( var1 == J64 && var3 == MF && var44 == Autre272 && var94 == EU93 ) || ( var1 == J64 && var3 == MF && var44 == Autre272 && var94 == EU96 ) || ( var1 == J64 && var3 == MF && var44 == Autre272 && var94 == EU00 ) || ( var1 == J64 && var3 == MJ && var44 == Autre272 && var94 == CRIT1503 ) || ( var1 == J64 && var3 == MJ && var44 == Autre272 && var94 == EU93 ) || ( var1 == J64 && var3 == MJ && var44 == Autre272 && var94 == EU96 ) || ( var1 == J64 && var3 == MJ && var44 == Autre272 && var94 == EU00 ) || ( var1 == J64 && var3 == MK && var44 == Autre272 && var94 == CRIT1503 ) || ( var1 == J64 && var3 == MK && var44 == Autre272 && var94 == EU93 ) || ( var1 == J64 && var3 == MK && var44 == Autre272 && var94 == EU96 ) || ( var1 == J64 && var3 == MK && var44 == Autre272 && var94 == EU00 ) || ( var1 == J64 && var3 == ML && var44 == Autre272 && var94 == CRIT1503 ) || ( var1 == J64 && var3 == ML && var44 == Autre272 && var94 == EU93 ) || ( var1 == J64 && var3 == ML && var44 == Autre272 && var94 == EU96 ) || ( var1 == J64 && var3 == ML && var44 == Autre272 && var94 == EU00 ) || ( var1 == J64 && var3 == MM && var44 == Autre272 && var94 == CRIT1503 ) || ( var1 == J64 && var3 == MM && var44 == Autre272 && var94 == EU93 ) || ( var1 == J64 && var3 == MM && var44 == Autre272 && var94 == EU96 ) || ( var1 == J64 && var3 == MM && var44 == Autre272 && var94 == EU00 ) || ( var1 == J64 && var3 == MS && var44 == Autre272 && var94 == CRIT1503 ) || ( var1 == J64 && var3 == MS && var44 == Autre272 && var94 == EU93 ) || ( var1 == J64 && var3 == MS && var44 == Autre272 && var94 == EU96 ) || ( var1 == J64 && var3 == MS && var44 == Autre272 && var94 == EU00 ) || ( var1 == J64 && var3 == MT && var44 == Autre272 && var94 == CRIT1503 ) || ( var1 == J64 && var3 == MT && var44 == Autre272 && var94 == EU93 ) || ( var1 == J64 && var3 == MT && var44 == Autre272 && var94 == EU96 ) || ( var1 == J64 && var3 == MT && var44 == Autre272 && var94 == EU00 ) || ( var1 == J64 && var3 == MU && var44 == Autre272 && var94 == CRIT1503 ) || ( var1 == J64 && var3 == MU && var44 == Autre272 && var94 == EU93 ) || ( var1 == J64 && var3 == MU && var44 == Autre272 && var94 == EU96 ) || ( var1 == J64 && var3 == MU && var44 == Autre272 && var94 == EU00 ) || ( var1 == J64 && var3 == MN && var44 == Autre272 && var94 == CRIT1503 ) || ( var1 == J64 && var3 == MN && var44 == Autre272 && var94 == EU93 ) || ( var1 == J64 && var3 == MN && var44 == Autre272 && var94 == EU96 ) || ( var1 == J64 && var3 == MN && var44 == Autre272 && var94 == EU00 ) || ( var1 == J64 && var3 == MH && var44 == Autre272 && var94 == CRIT1503 ) || ( var1 == J64 && var3 == MH && var44 == Autre272 && var94 == EU93 ) || ( var1 == J64 && var3 == MH && var44 == Autre272 && var94 == EU96 ) || ( var1 == J64 && var3 == MH && var44 == Autre272 && var94 == EU00 ) || ( var1 == J64 && var3 == MG && var44 == Autre272 && var94 == CRIT1503 ) || ( var1 == J64 && var3 == MG && var44 == Autre272 && var94 == EU93 ) || ( var1 == J64 && var3 == MG && var44 == Autre272 && var94 == EU96 ) || ( var1 == J64 && var3 == MG && var44 == Autre272 && var94 == EU00 ) || ( var1 == J64 && var3 == MY && var44 == Autre272 && var94 == CRIT1503 ) || ( var1 == J64 && var3 == MY && var44 == Autre272 && var94 == EU93 ) || ( var1 == J64 && var3 == MY && var44 == Autre272 && var94 == EU96 ) || ( var1 == J64 && var3 == MY && var44 == Autre272 && var94 == EU00 ) || ( var1 == J64 && var3 == NM2K && var44 == Autre272 && var94 == CRIT1503 ) || ( var1 == J64 && var3 == NM2K && var44 == Autre272 && var94 == EU93 ) || ( var1 == J64 && var3 == NM2K && var44 == Autre272 && var94 == EU96 ) || ( var1 == J64 && var3 == NM2K && var44 == Autre272 && var94 == EU00 ) || ( var1 == J64 && var3 == NM0C && var44 == Autre272 && var94 == CRIT1503 ) || ( var1 == J64 && var3 == NM0C && var44 == Autre272 && var94 == EU93 ) || ( var1 == J64 && var3 == NM0C && var44 == Autre272 && var94 == EU96 ) || ( var1 == J64 && var3 == NM0C && var44 == Autre272 && var94 == EU00 ) || ( var1 == J64 && var3 == ND1G && var44 == Autre272 && var94 == CRIT1503 ) || ( var1 == J64 && var3 == ND1G && var44 == Autre272 && var94 == EU93 ) || ( var1 == J64 && var3 == ND1G && var44 == Autre272 && var94 == EU96 ) || ( var1 == J64 && var3 == ND1G && var44 == Autre272 && var94 == EU00 ) || ( var1 == B64 && var3 == M5 && var44 == Autre272 && var94 == CRIT1503 ) || ( var1 == B64 && var3 == M5 && var44 == Autre272 && var94 == EU93 ) || ( var1 == B64 && var3 == M5 && var44 == Autre272 && var94 == EU96 ) || ( var1 == B64 && var3 == M5 && var44 == Autre272 && var94 == EU00 ) || ( var1 == B64 && var3 == M6 && var44 == Autre272 && var94 == CRIT1503 ) || ( var1 == B64 && var3 == M6 && var44 == Autre272 && var94 == EU93 ) || ( var1 == B64 && var3 == M6 && var44 == Autre272 && var94 == EU96 ) || ( var1 == B64 && var3 == M6 && var44 == Autre272 && var94 == EU00 ) || ( var1 == B64 && var3 == M7 && var44 == Autre272 && var94 == CRIT1503 ) || ( var1 == B64 && var3 == M7 && var44 == Autre272 && var94 == EU93 ) || ( var1 == B64 && var3 == M7 && var44 == Autre272 && var94 == EU96 ) || ( var1 == B64 && var3 == M7 && var44 == Autre272 && var94 == EU00 ) || ( var1 == B64 && var3 == M8 && var44 == Autre272 && var94 == CRIT1503 ) || ( var1 == B64 && var3 == M8 && var44 == Autre272 && var94 == EU93 ) || ( var1 == B64 && var3 == M8 && var44 == Autre272 && var94 == EU96 ) || ( var1 == B64 && var3 == M8 && var44 == Autre272 && var94 == EU00 ) || ( var1 == B64 && var3 == M9 && var44 == Autre272 && var94 == CRIT1503 ) || ( var1 == B64 && var3 == M9 && var44 == Autre272 && var94 == EU93 ) || ( var1 == B64 && var3 == M9 && var44 == Autre272 && var94 == EU96 ) || ( var1 == B64 && var3 == M9 && var44 == Autre272 && var94 == EU00 ) || ( var1 == B64 && var3 == MA && var44 == Autre272 && var94 == CRIT1503 ) || ( var1 == B64 && var3 == MA && var44 == Autre272 && var94 == EU93 ) || ( var1 == B64 && var3 == MA && var44 == Autre272 && var94 == EU96 ) || ( var1 == B64 && var3 == MA && var44 == Autre272 && var94 == EU00 ) || ( var1 == B64 && var3 == MB && var44 == Autre272 && var94 == CRIT1503 ) || ( var1 == B64 && var3 == MB && var44 == Autre272 && var94 == EU93 ) || ( var1 == B64 && var3 == MB && var44 == Autre272 && var94 == EU96 ) || ( var1 == B64 && var3 == MB && var44 == Autre272 && var94 == EU00 ) || ( var1 == B64 && var3 == MC && var44 == Autre272 && var94 == CRIT1503 ) || ( var1 == B64 && var3 == MC && var44 == Autre272 && var94 == EU93 ) || ( var1 == B64 && var3 == MC && var44 == Autre272 && var94 == EU96 ) || ( var1 == B64 && var3 == MC && var44 == Autre272 && var94 == EU00 ) || ( var1 == B64 && var3 == MD && var44 == Autre272 && var94 == CRIT1503 ) || ( var1 == B64 && var3 == MD && var44 == Autre272 && var94 == EU93 ) || ( var1 == B64 && var3 == MD && var44 == Autre272 && var94 == EU96 ) || ( var1 == B64 && var3 == MD && var44 == Autre272 && var94 == EU00 ) || ( var1 == B64 && var3 == ME && var44 == Autre272 && var94 == CRIT1503 ) || ( var1 == B64 && var3 == ME && var44 == Autre272 && var94 == EU93 ) || ( var1 == B64 && var3 == ME && var44 == Autre272 && var94 == EU96 ) || ( var1 == B64 && var3 == ME && var44 == Autre272 && var94 == EU00 ) || ( var1 == B64 && var3 == MF && var44 == PREGPL && var94 == EU96 ) || ( var1 == B64 && var3 == MF && var44 == EQGPL && var94 == EU96 ) || ( var1 == B64 && var3 == MF && var44 == Autre272 && var94 == CRIT1503 ) || ( var1 == B64 && var3 == MF && var44 == Autre272 && var94 == EU93 ) || ( var1 == B64 && var3 == MF && var44 == Autre272 && var94 == EU96 ) || ( var1 == B64 && var3 == MF && var44 == Autre272 && var94 == EU00 ) || ( var1 == B64 && var3 == MJ && var44 == Autre272 && var94 == CRIT1503 ) || ( var1 == B64 && var3 == MJ && var44 == Autre272 && var94 == EU93 ) || ( var1 == B64 && var3 == MJ && var44 == Autre272 && var94 == EU96 ) || ( var1 == B64 && var3 == MJ && var44 == Autre272 && var94 == EU00 ) || ( var1 == B64 && var3 == MK && var44 == Autre272 && var94 == CRIT1503 ) || ( var1 == B64 && var3 == MK && var44 == Autre272 && var94 == EU93 ) || ( var1 == B64 && var3 == MK && var44 == Autre272 && var94 == EU96 ) || ( var1 == B64 && var3 == MK && var44 == Autre272 && var94 == EU00 ) || ( var1 == B64 && var3 == ML && var44 == Autre272 && var94 == CRIT1503 ) || ( var1 == B64 && var3 == ML && var44 == Autre272 && var94 == EU93 ) || ( var1 == B64 && var3 == ML && var44 == Autre272 && var94 == EU96 ) || ( var1 == B64 && var3 == ML && var44 == Autre272 && var94 == EU00 ) || ( var1 == B64 && var3 == MM && var44 == Autre272 && var94 == CRIT1503 ) || ( var1 == B64 && var3 == MM && var44 == Autre272 && var94 == EU93 ) || ( var1 == B64 && var3 == MM && var44 == Autre272 && var94 == EU96 ) || ( var1 == B64 && var3 == MM && var44 == Autre272 && var94 == EU00 ) || ( var1 == B64 && var3 == MS && var44 == Autre272 && var94 == CRIT1503 ) || ( var1 == B64 && var3 == MS && var44 == Autre272 && var94 == EU93 ) || ( var1 == B64 && var3 == MS && var44 == Autre272 && var94 == EU96 ) || ( var1 == B64 && var3 == MS && var44 == Autre272 && var94 == EU00 ) || ( var1 == B64 && var3 == MT && var44 == Autre272 && var94 == CRIT1503 ) || ( var1 == B64 && var3 == MT && var44 == Autre272 && var94 == EU93 ) || ( var1 == B64 && var3 == MT && var44 == Autre272 && var94 == EU96 ) || ( var1 == B64 && var3 == MT && var44 == Autre272 && var94 == EU00 ) || ( var1 == B64 && var3 == MU && var44 == Autre272 && var94 == CRIT1503 ) || ( var1 == B64 && var3 == MU && var44 == Autre272 && var94 == EU93 ) || ( var1 == B64 && var3 == MU && var44 == Autre272 && var94 == EU96 ) || ( var1 == B64 && var3 == MU && var44 == Autre272 && var94 == EU00 ) || ( var1 == B64 && var3 == MN && var44 == Autre272 && var94 == CRIT1503 ) || ( var1 == B64 && var3 == MN && var44 == Autre272 && var94 == EU93 ) || ( var1 == B64 && var3 == MN && var44 == Autre272 && var94 == EU96 ) || ( var1 == B64 && var3 == MN && var44 == Autre272 && var94 == EU00 ) || ( var1 == B64 && var3 == MH && var44 == Autre272 && var94 == CRIT1503 ) || ( var1 == B64 && var3 == MH && var44 == Autre272 && var94 == EU93 ) || ( var1 == B64 && var3 == MH && var44 == Autre272 && var94 == EU96 ) || ( var1 == B64 && var3 == MH && var44 == Autre272 && var94 == EU00 ) || ( var1 == B64 && var3 == MG && var44 == Autre272 && var94 == CRIT1503 ) || ( var1 == B64 && var3 == MG && var44 == Autre272 && var94 == EU93 ) || ( var1 == B64 && var3 == MG && var44 == Autre272 && var94 == EU96 ) || ( var1 == B64 && var3 == MG && var44 == Autre272 && var94 == EU00 ) || ( var1 == B64 && var3 == MY && var44 == Autre272 && var94 == CRIT1503 ) || ( var1 == B64 && var3 == MY && var44 == Autre272 && var94 == EU93 ) || ( var1 == B64 && var3 == MY && var44 == Autre272 && var94 == EU96 ) || ( var1 == B64 && var3 == MY && var44 == Autre272 && var94 == EU00 ) || ( var1 == B64 && var3 == NM2K && var44 == Autre272 && var94 == CRIT1503 ) || ( var1 == B64 && var3 == NM2K && var44 == Autre272 && var94 == EU93 ) || ( var1 == B64 && var3 == NM2K && var44 == Autre272 && var94 == EU96 ) || ( var1 == B64 && var3 == NM2K && var44 == Autre272 && var94 == EU00 ) || ( var1 == B64 && var3 == NM0C && var44 == Autre272 && var94 == CRIT1503 ) || ( var1 == B64 && var3 == NM0C && var44 == Autre272 && var94 == EU93 ) || ( var1 == B64 && var3 == NM0C && var44 == Autre272 && var94 == EU96 ) || ( var1 == B64 && var3 == NM0C && var44 == Autre272 && var94 == EU00 ) || ( var1 == B64 && var3 == ND1G && var44 == Autre272 && var94 == CRIT1503 ) || ( var1 == B64 && var3 == ND1G && var44 == Autre272 && var94 == EU93 ) || ( var1 == B64 && var3 == ND1G && var44 == Autre272 && var94 == EU96 ) || ( var1 == B64 && var3 == ND1G && var44 == Autre272 && var94 == EU00 ) || ( var1 == F64 && var3 == MJ && var44 == Autre272 && var94 == EU96 ) || ( var1 == F64 && var3 == MK && var44 == Autre272 && var94 == EU96 ) || ( var1 == F64 && var3 == MY && var44 == Autre272 && var94 == EU96 ) || ( var1 == K25 && var3 == M6 && var44 == Autre272 && var94 == CRIT1503 ) || ( var1 == K25 && var3 == M6 && var44 == Autre272 && var94 == EU93 ) || ( var1 == K25 && var3 == M6 && var44 == Autre272 && var94 == EU96 ) || ( var1 == K25 && var3 == M6 && var44 == Autre272 && var94 == EU00 ) || ( var1 == K25 && var3 == MD && var44 == Autre272 && var94 == CRIT1503 ) || ( var1 == K25 && var3 == MD && var44 == Autre272 && var94 == EU93 ) || ( var1 == K25 && var3 == MD && var44 == Autre272 && var94 == EU96 ) || ( var1 == K25 && var3 == MD && var44 == Autre272 && var94 == EU00 ) || ( var1 == K25 && var3 == ME && var44 == Autre272 && var94 == CRIT1503 ) || ( var1 == K25 && var3 == ME && var44 == Autre272 && var94 == EU93 ) || ( var1 == K25 && var3 == ME && var44 == Autre272 && var94 == EU96 ) || ( var1 == K25 && var3 == ME && var44 == Autre272 && var94 == EU00 ) || ( var1 == K25 && var3 == MF && var44 == Autre272 && var94 == CRIT1503 ) || ( var1 == K25 && var3 == MF && var44 == Autre272 && var94 == EU93 ) || ( var1 == K25 && var3 == MF && var44 == Autre272 && var94 == EU96 ) || ( var1 == K25 && var3 == MF && var44 == Autre272 && var94 == EU00 ) || ( var1 == K25 && var3 == MJ && var44 == Autre272 && var94 == CRIT1503 ) || ( var1 == K25 && var3 == MJ && var44 == Autre272 && var94 == EU93 ) || ( var1 == K25 && var3 == MJ && var44 == Autre272 && var94 == EU96 ) || ( var1 == K25 && var3 == MJ && var44 == Autre272 && var94 == EU00 ) || ( var1 == K25 && var3 == ML && var44 == Autre272 && var94 == CRIT1503 ) || ( var1 == K25 && var3 == ML && var44 == Autre272 && var94 == EU93 ) || ( var1 == K25 && var3 == ML && var44 == Autre272 && var94 == EU96 ) || ( var1 == K25 && var3 == ML && var44 == Autre272 && var94 == EU00 ) || ( var1 == V25 && var3 == MJ && var44 == Autre272 && var94 == EU96 ) ) ); ( ( ( var1 == S64 && var3 == M9 && var93 == Autre714 && var94 == EU93 ) || ( var1 == S64 && var3 == M9 && var93 == Autre714 && var94 == EU96 ) || ( var1 == S64 && var3 == MA && var93 == Autre714 && var94 == EU93 ) || ( var1 == S64 && var3 == MA && var93 == Autre714 && var94 == EU96 ) || ( var1 == S64 && var3 == MJ && var93 == Autre714 && var94 == EU93 ) || ( var1 == S64 && var3 == MJ && var93 == Autre714 && var94 == EU96 ) || ( var1 == S64 && var3 == MK && var93 == Autre714 && var94 == EU93 ) || ( var1 == S64 && var3 == MK && var93 == Autre714 && var94 == EU96 ) || ( var1 == S64 && var3 == MU && var93 == Autre714 && var94 == EU93 ) || ( var1 == S64 && var3 == MU && var93 == Autre714 && var94 == EU96 ) || ( var1 == S64 && var3 == MY && var93 == Autre714 && var94 == EU93 ) || ( var1 == S64 && var3 == MY && var93 == Autre714 && var94 == EU96 ) || ( var1 == L64 && var3 == M5 && var93 == Autre714 && var94 == CRIT1503 ) || ( var1 == L64 && var3 == M5 && var93 == Autre714 && var94 == EU93 ) || ( var1 == L64 && var3 == M5 && var93 == Autre714 && var94 == EU96 ) || ( var1 == L64 && var3 == M5 && var93 == Autre714 && var94 == EU00 ) || ( var1 == L64 && var3 == M6 && var93 == Autre714 && var94 == CRIT1503 ) || ( var1 == L64 && var3 == M6 && var93 == Autre714 && var94 == EU93 ) || ( var1 == L64 && var3 == M6 && var93 == Autre714 && var94 == EU96 ) || ( var1 == L64 && var3 == M6 && var93 == Autre714 && var94 == EU00 ) || ( var1 == L64 && var3 == M7 && var93 == Autre714 && var94 == CRIT1503 ) || ( var1 == L64 && var3 == M7 && var93 == Autre714 && var94 == EU93 ) || ( var1 == L64 && var3 == M7 && var93 == Autre714 && var94 == EU96 ) || ( var1 == L64 && var3 == M7 && var93 == Autre714 && var94 == EU00 ) || ( var1 == L64 && var3 == M8 && var93 == Autre714 && var94 == CRIT1503 ) || ( var1 == L64 && var3 == M8 && var93 == Autre714 && var94 == EU93 ) || ( var1 == L64 && var3 == M8 && var93 == Autre714 && var94 == EU96 ) || ( var1 == L64 && var3 == M8 && var93 == Autre714 && var94 == EU00 ) || ( var1 == L64 && var3 == M9 && var93 == Autre714 && var94 == CRIT1503 ) || ( var1 == L64 && var3 == M9 && var93 == Autre714 && var94 == EU93 ) || ( var1 == L64 && var3 == M9 && var93 == Autre714 && var94 == EU96 ) || ( var1 == L64 && var3 == M9 && var93 == Autre714 && var94 == EU00 ) || ( var1 == L64 && var3 == MA && var93 == Autre714 && var94 == CRIT1503 ) || ( var1 == L64 && var3 == MA && var93 == Autre714 && var94 == EU93 ) || ( var1 == L64 && var3 == MA && var93 == Autre714 && var94 == EU96 ) || ( var1 == L64 && var3 == MA && var93 == Autre714 && var94 == EU00 ) || ( var1 == L64 && var3 == MB && var93 == Autre714 && var94 == CRIT1503 ) || ( var1 == L64 && var3 == MB && var93 == Autre714 && var94 == EU93 ) || ( var1 == L64 && var3 == MB && var93 == Autre714 && var94 == EU96 ) || ( var1 == L64 && var3 == MB && var93 == Autre714 && var94 == EU00 ) || ( var1 == L64 && var3 == MC && var93 == Autre714 && var94 == CRIT1503 ) || ( var1 == L64 && var3 == MC && var93 == Autre714 && var94 == EU93 ) || ( var1 == L64 && var3 == MC && var93 == Autre714 && var94 == EU96 ) || ( var1 == L64 && var3 == MC && var93 == Autre714 && var94 == EU00 ) || ( var1 == L64 && var3 == MD && var93 == Autre714 && var94 == CRIT1503 ) || ( var1 == L64 && var3 == MD && var93 == Autre714 && var94 == EU93 ) || ( var1 == L64 && var3 == MD && var93 == Autre714 && var94 == EU96 ) || ( var1 == L64 && var3 == MD && var93 == Autre714 && var94 == EU00 ) || ( var1 == L64 && var3 == ME && var93 == Autre714 && var94 == CRIT1503 ) || ( var1 == L64 && var3 == ME && var93 == Autre714 && var94 == EU93 ) || ( var1 == L64 && var3 == ME && var93 == Autre714 && var94 == EU96 ) || ( var1 == L64 && var3 == ME && var93 == Autre714 && var94 == EU00 ) || ( var1 == L64 && var3 == MF && var93 == Autre714 && var94 == CRIT1503 ) || ( var1 == L64 && var3 == MF && var93 == Autre714 && var94 == EU93 ) || ( var1 == L64 && var3 == MF && var93 == Autre714 && var94 == EU96 ) || ( var1 == L64 && var3 == MF && var93 == Autre714 && var94 == EU00 ) || ( var1 == L64 && var3 == MJ && var93 == Autre714 && var94 == CRIT1503 ) || ( var1 == L64 && var3 == MJ && var93 == Autre714 && var94 == EU93 ) || ( var1 == L64 && var3 == MJ && var93 == Autre714 && var94 == EU96 ) || ( var1 == L64 && var3 == MJ && var93 == Autre714 && var94 == EU00 ) || ( var1 == L64 && var3 == MK && var93 == Autre714 && var94 == CRIT1503 ) || ( var1 == L64 && var3 == MK && var93 == Autre714 && var94 == EU93 ) || ( var1 == L64 && var3 == MK && var93 == Autre714 && var94 == EU96 ) || ( var1 == L64 && var3 == MK && var93 == Autre714 && var94 == EU00 ) || ( var1 == L64 && var3 == ML && var93 == Autre714 && var94 == CRIT1503 ) || ( var1 == L64 && var3 == ML && var93 == Autre714 && var94 == EU93 ) || ( var1 == L64 && var3 == ML && var93 == Autre714 && var94 == EU96 ) || ( var1 == L64 && var3 == ML && var93 == Autre714 && var94 == EU00 ) || ( var1 == L64 && var3 == MM && var93 == Autre714 && var94 == CRIT1503 ) || ( var1 == L64 && var3 == MM && var93 == Autre714 && var94 == EU93 ) || ( var1 == L64 && var3 == MM && var93 == Autre714 && var94 == EU96 ) || ( var1 == L64 && var3 == MM && var93 == Autre714 && var94 == EU00 ) || ( var1 == L64 && var3 == MS && var93 == Autre714 && var94 == CRIT1503 ) || ( var1 == L64 && var3 == MS && var93 == Autre714 && var94 == EU93 ) || ( var1 == L64 && var3 == MS && var93 == Autre714 && var94 == EU96 ) || ( var1 == L64 && var3 == MS && var93 == Autre714 && var94 == EU00 ) || ( var1 == L64 && var3 == MT && var93 == Autre714 && var94 == CRIT1503 ) || ( var1 == L64 && var3 == MT && var93 == Autre714 && var94 == EU93 ) || ( var1 == L64 && var3 == MT && var93 == Autre714 && var94 == EU96 ) || ( var1 == L64 && var3 == MT && var93 == Autre714 && var94 == EU00 ) || ( var1 == L64 && var3 == MU && var93 == Autre714 && var94 == CRIT1503 ) || ( var1 == L64 && var3 == MU && var93 == Autre714 && var94 == EU93 ) || ( var1 == L64 && var3 == MU && var93 == Autre714 && var94 == EU96 ) || ( var1 == L64 && var3 == MU && var93 == Autre714 && var94 == EU00 ) || ( var1 == L64 && var3 == MN && var93 == Autre714 && var94 == CRIT1503 ) || ( var1 == L64 && var3 == MN && var93 == Autre714 && var94 == EU93 ) || ( var1 == L64 && var3 == MN && var93 == Autre714 && var94 == EU96 ) || ( var1 == L64 && var3 == MN && var93 == Autre714 && var94 == EU00 ) || ( var1 == L64 && var3 == MH && var93 == Autre714 && var94 == CRIT1503 ) || ( var1 == L64 && var3 == MH && var93 == Autre714 && var94 == EU93 ) || ( var1 == L64 && var3 == MH && var93 == Autre714 && var94 == EU96 ) || ( var1 == L64 && var3 == MH && var93 == Autre714 && var94 == EU00 ) || ( var1 == L64 && var3 == MG && var93 == BVDIF && var94 == EU96 ) || ( var1 == L64 && var3 == MG && var93 == Autre714 && var94 == CRIT1503 ) || ( var1 == L64 && var3 == MG && var93 == Autre714 && var94 == EU93 ) || ( var1 == L64 && var3 == MG && var93 == Autre714 && var94 == EU96 ) || ( var1 == L64 && var3 == MG && var93 == Autre714 && var94 == EU00 ) || ( var1 == L64 && var3 == MY && var93 == Autre714 && var94 == CRIT1503 ) || ( var1 == L64 && var3 == MY && var93 == Autre714 && var94 == EU93 ) || ( var1 == L64 && var3 == MY && var93 == Autre714 && var94 == EU96 ) || ( var1 == L64 && var3 == MY && var93 == Autre714 && var94 == EU00 ) || ( var1 == L64 && var3 == NM2K && var93 == Autre714 && var94 == CRIT1503 ) || ( var1 == L64 && var3 == NM2K && var93 == Autre714 && var94 == EU93 ) || ( var1 == L64 && var3 == NM2K && var93 == Autre714 && var94 == EU96 ) || ( var1 == L64 && var3 == NM2K && var93 == Autre714 && var94 == EU00 ) || ( var1 == L64 && var3 == NM0C && var93 == Autre714 && var94 == CRIT1503 ) || ( var1 == L64 && var3 == NM0C && var93 == Autre714 && var94 == EU93 ) || ( var1 == L64 && var3 == NM0C && var93 == Autre714 && var94 == EU96 ) || ( var1 == L64 && var3 == NM0C && var93 == Autre714 && var94 == EU00 ) || ( var1 == L64 && var3 == ND1G && var93 == Autre714 && var94 == CRIT1503 ) || ( var1 == L64 && var3 == ND1G && var93 == Autre714 && var94 == EU93 ) || ( var1 == L64 && var3 == ND1G && var93 == Autre714 && var94 == EU96 ) || ( var1 == L64 && var3 == ND1G && var93 == Autre714 && var94 == EU00 ) || ( var1 == E64 && var3 == MB && var93 == Autre714 && var94 == EU96 ) || ( var1 == E64 && var3 == MB && var93 == Autre714 && var94 == EU00 ) || ( var1 == E64 && var3 == MC && var93 == Autre714 && var94 == EU96 ) || ( var1 == E64 && var3 == MC && var93 == Autre714 && var94 == EU00 ) || ( var1 == E64 && var3 == MF && var93 == Autre714 && var94 == EU96 ) || ( var1 == E64 && var3 == MF && var93 == Autre714 && var94 == EU00 ) || ( var1 == E64 && var3 == MH && var93 == Autre714 && var94 == EU96 ) || ( var1 == E64 && var3 == MH && var93 == Autre714 && var94 == EU00 ) || ( var1 == E64 && var3 == MG && var93 == BVDIF && var94 == EU96 ) || ( var1 == E64 && var3 == MG && var93 == Autre714 && var94 == EU96 ) || ( var1 == E64 && var3 == MG && var93 == Autre714 && var94 == EU00 ) || ( var1 == E64 && var3 == NM2K && var93 == Autre714 && var94 == EU96 ) || ( var1 == E64 && var3 == NM2K && var93 == Autre714 && var94 == EU00 ) || ( var1 == D64 && var3 == M5 && var93 == Autre714 && var94 == EU96 ) || ( var1 == D64 && var3 == M5 && var93 == Autre714 && var94 == EU00 ) || ( var1 == D64 && var3 == M6 && var93 == Autre714 && var94 == EU96 ) || ( var1 == D64 && var3 == M6 && var93 == Autre714 && var94 == EU00 ) || ( var1 == D64 && var3 == M7 && var93 == Autre714 && var94 == EU96 ) || ( var1 == D64 && var3 == M7 && var93 == Autre714 && var94 == EU00 ) || ( var1 == D64 && var3 == M8 && var93 == Autre714 && var94 == EU96 ) || ( var1 == D64 && var3 == M8 && var93 == Autre714 && var94 == EU00 ) || ( var1 == D64 && var3 == M9 && var93 == Autre714 && var94 == EU96 ) || ( var1 == D64 && var3 == M9 && var93 == Autre714 && var94 == EU00 ) || ( var1 == D64 && var3 == MA && var93 == Autre714 && var94 == EU96 ) || ( var1 == D64 && var3 == MA && var93 == Autre714 && var94 == EU00 ) || ( var1 == D64 && var3 == MB && var93 == Autre714 && var94 == EU96 ) || ( var1 == D64 && var3 == MB && var93 == Autre714 && var94 == EU00 ) || ( var1 == D64 && var3 == MC && var93 == Autre714 && var94 == EU96 ) || ( var1 == D64 && var3 == MC && var93 == Autre714 && var94 == EU00 ) || ( var1 == D64 && var3 == MD && var93 == Autre714 && var94 == EU96 ) || ( var1 == D64 && var3 == MD && var93 == Autre714 && var94 == EU00 ) || ( var1 == D64 && var3 == ME && var93 == Autre714 && var94 == EU96 ) || ( var1 == D64 && var3 == ME && var93 == Autre714 && var94 == EU00 ) || ( var1 == D64 && var3 == MF && var93 == Autre714 && var94 == EU96 ) || ( var1 == D64 && var3 == MF && var93 == Autre714 && var94 == EU00 ) || ( var1 == D64 && var3 == MJ && var93 == Autre714 && var94 == EU96 ) || ( var1 == D64 && var3 == MJ && var93 == Autre714 && var94 == EU00 ) || ( var1 == D64 && var3 == MK && var93 == Autre714 && var94 == EU96 ) || ( var1 == D64 && var3 == MK && var93 == Autre714 && var94 == EU00 ) || ( var1 == D64 && var3 == ML && var93 == Autre714 && var94 == EU96 ) || ( var1 == D64 && var3 == ML && var93 == Autre714 && var94 == EU00 ) || ( var1 == D64 && var3 == MM && var93 == Autre714 && var94 == EU96 ) || ( var1 == D64 && var3 == MM && var93 == Autre714 && var94 == EU00 ) || ( var1 == D64 && var3 == MS && var93 == Autre714 && var94 == EU96 ) || ( var1 == D64 && var3 == MS && var93 == Autre714 && var94 == EU00 ) || ( var1 == D64 && var3 == MT && var93 == Autre714 && var94 == EU96 ) || ( var1 == D64 && var3 == MT && var93 == Autre714 && var94 == EU00 ) || ( var1 == D64 && var3 == MU && var93 == Autre714 && var94 == EU96 ) || ( var1 == D64 && var3 == MU && var93 == Autre714 && var94 == EU00 ) || ( var1 == D64 && var3 == MN && var93 == Autre714 && var94 == EU96 ) || ( var1 == D64 && var3 == MN && var93 == Autre714 && var94 == EU00 ) || ( var1 == D64 && var3 == MH && var93 == Autre714 && var94 == EU96 ) || ( var1 == D64 && var3 == MH && var93 == Autre714 && var94 == EU00 ) || ( var1 == D64 && var3 == MG && var93 == BVDIF && var94 == EU96 ) || ( var1 == D64 && var3 == MG && var93 == Autre714 && var94 == EU96 ) || ( var1 == D64 && var3 == MG && var93 == Autre714 && var94 == EU00 ) || ( var1 == D64 && var3 == MY && var93 == Autre714 && var94 == EU96 ) || ( var1 == D64 && var3 == MY && var93 == Autre714 && var94 == EU00 ) || ( var1 == D64 && var3 == NM2K && var93 == Autre714 && var94 == EU96 ) || ( var1 == D64 && var3 == NM2K && var93 == Autre714 && var94 == EU00 ) || ( var1 == D64 && var3 == NM0C && var93 == Autre714 && var94 == EU96 ) || ( var1 == D64 && var3 == NM0C && var93 == Autre714 && var94 == EU00 ) || ( var1 == D64 && var3 == ND1G && var93 == Autre714 && var94 == EU96 ) || ( var1 == D64 && var3 == ND1G && var93 == Autre714 && var94 == EU00 ) || ( var1 == J64 && var3 == M5 && var93 == Autre714 && var94 == CRIT1503 ) || ( var1 == J64 && var3 == M5 && var93 == Autre714 && var94 == EU93 ) || ( var1 == J64 && var3 == M5 && var93 == Autre714 && var94 == EU96 ) || ( var1 == J64 && var3 == M5 && var93 == Autre714 && var94 == EU00 ) || ( var1 == J64 && var3 == M6 && var93 == Autre714 && var94 == CRIT1503 ) || ( var1 == J64 && var3 == M6 && var93 == Autre714 && var94 == EU93 ) || ( var1 == J64 && var3 == M6 && var93 == Autre714 && var94 == EU96 ) || ( var1 == J64 && var3 == M6 && var93 == Autre714 && var94 == EU00 ) || ( var1 == J64 && var3 == M7 && var93 == Autre714 && var94 == CRIT1503 ) || ( var1 == J64 && var3 == M7 && var93 == Autre714 && var94 == EU93 ) || ( var1 == J64 && var3 == M7 && var93 == Autre714 && var94 == EU96 ) || ( var1 == J64 && var3 == M7 && var93 == Autre714 && var94 == EU00 ) || ( var1 == J64 && var3 == M8 && var93 == Autre714 && var94 == CRIT1503 ) || ( var1 == J64 && var3 == M8 && var93 == Autre714 && var94 == EU93 ) || ( var1 == J64 && var3 == M8 && var93 == Autre714 && var94 == EU96 ) || ( var1 == J64 && var3 == M8 && var93 == Autre714 && var94 == EU00 ) || ( var1 == J64 && var3 == M9 && var93 == Autre714 && var94 == CRIT1503 ) || ( var1 == J64 && var3 == M9 && var93 == Autre714 && var94 == EU93 ) || ( var1 == J64 && var3 == M9 && var93 == Autre714 && var94 == EU96 ) || ( var1 == J64 && var3 == M9 && var93 == Autre714 && var94 == EU00 ) || ( var1 == J64 && var3 == MA && var93 == Autre714 && var94 == CRIT1503 ) || ( var1 == J64 && var3 == MA && var93 == Autre714 && var94 == EU93 ) || ( var1 == J64 && var3 == MA && var93 == Autre714 && var94 == EU96 ) || ( var1 == J64 && var3 == MA && var93 == Autre714 && var94 == EU00 ) || ( var1 == J64 && var3 == MB && var93 == Autre714 && var94 == CRIT1503 ) || ( var1 == J64 && var3 == MB && var93 == Autre714 && var94 == EU93 ) || ( var1 == J64 && var3 == MB && var93 == Autre714 && var94 == EU96 ) || ( var1 == J64 && var3 == MB && var93 == Autre714 && var94 == EU00 ) || ( var1 == J64 && var3 == MC && var93 == Autre714 && var94 == CRIT1503 ) || ( var1 == J64 && var3 == MC && var93 == Autre714 && var94 == EU93 ) || ( var1 == J64 && var3 == MC && var93 == Autre714 && var94 == EU96 ) || ( var1 == J64 && var3 == MC && var93 == Autre714 && var94 == EU00 ) || ( var1 == J64 && var3 == MD && var93 == Autre714 && var94 == CRIT1503 ) || ( var1 == J64 && var3 == MD && var93 == Autre714 && var94 == EU93 ) || ( var1 == J64 && var3 == MD && var93 == Autre714 && var94 == EU96 ) || ( var1 == J64 && var3 == MD && var93 == Autre714 && var94 == EU00 ) || ( var1 == J64 && var3 == ME && var93 == Autre714 && var94 == CRIT1503 ) || ( var1 == J64 && var3 == ME && var93 == Autre714 && var94 == EU93 ) || ( var1 == J64 && var3 == ME && var93 == Autre714 && var94 == EU96 ) || ( var1 == J64 && var3 == ME && var93 == Autre714 && var94 == EU00 ) || ( var1 == J64 && var3 == MF && var93 == Autre714 && var94 == CRIT1503 ) || ( var1 == J64 && var3 == MF && var93 == Autre714 && var94 == EU93 ) || ( var1 == J64 && var3 == MF && var93 == Autre714 && var94 == EU96 ) || ( var1 == J64 && var3 == MF && var93 == Autre714 && var94 == EU00 ) || ( var1 == J64 && var3 == MJ && var93 == Autre714 && var94 == CRIT1503 ) || ( var1 == J64 && var3 == MJ && var93 == Autre714 && var94 == EU93 ) || ( var1 == J64 && var3 == MJ && var93 == Autre714 && var94 == EU96 ) || ( var1 == J64 && var3 == MJ && var93 == Autre714 && var94 == EU00 ) || ( var1 == J64 && var3 == MK && var93 == Autre714 && var94 == CRIT1503 ) || ( var1 == J64 && var3 == MK && var93 == Autre714 && var94 == EU93 ) || ( var1 == J64 && var3 == MK && var93 == Autre714 && var94 == EU96 ) || ( var1 == J64 && var3 == MK && var93 == Autre714 && var94 == EU00 ) || ( var1 == J64 && var3 == ML && var93 == Autre714 && var94 == CRIT1503 ) || ( var1 == J64 && var3 == ML && var93 == Autre714 && var94 == EU93 ) || ( var1 == J64 && var3 == ML && var93 == Autre714 && var94 == EU96 ) || ( var1 == J64 && var3 == ML && var93 == Autre714 && var94 == EU00 ) || ( var1 == J64 && var3 == MM && var93 == Autre714 && var94 == CRIT1503 ) || ( var1 == J64 && var3 == MM && var93 == Autre714 && var94 == EU93 ) || ( var1 == J64 && var3 == MM && var93 == Autre714 && var94 == EU96 ) || ( var1 == J64 && var3 == MM && var93 == Autre714 && var94 == EU00 ) || ( var1 == J64 && var3 == MS && var93 == Autre714 && var94 == CRIT1503 ) || ( var1 == J64 && var3 == MS && var93 == Autre714 && var94 == EU93 ) || ( var1 == J64 && var3 == MS && var93 == Autre714 && var94 == EU96 ) || ( var1 == J64 && var3 == MS && var93 == Autre714 && var94 == EU00 ) || ( var1 == J64 && var3 == MT && var93 == Autre714 && var94 == CRIT1503 ) || ( var1 == J64 && var3 == MT && var93 == Autre714 && var94 == EU93 ) || ( var1 == J64 && var3 == MT && var93 == Autre714 && var94 == EU96 ) || ( var1 == J64 && var3 == MT && var93 == Autre714 && var94 == EU00 ) || ( var1 == J64 && var3 == MU && var93 == Autre714 && var94 == CRIT1503 ) || ( var1 == J64 && var3 == MU && var93 == Autre714 && var94 == EU93 ) || ( var1 == J64 && var3 == MU && var93 == Autre714 && var94 == EU96 ) || ( var1 == J64 && var3 == MU && var93 == Autre714 && var94 == EU00 ) || ( var1 == J64 && var3 == MN && var93 == Autre714 && var94 == CRIT1503 ) || ( var1 == J64 && var3 == MN && var93 == Autre714 && var94 == EU93 ) || ( var1 == J64 && var3 == MN && var93 == Autre714 && var94 == EU96 ) || ( var1 == J64 && var3 == MN && var93 == Autre714 && var94 == EU00 ) || ( var1 == J64 && var3 == MH && var93 == Autre714 && var94 == CRIT1503 ) || ( var1 == J64 && var3 == MH && var93 == Autre714 && var94 == EU93 ) || ( var1 == J64 && var3 == MH && var93 == Autre714 && var94 == EU96 ) || ( var1 == J64 && var3 == MH && var93 == Autre714 && var94 == EU00 ) || ( var1 == J64 && var3 == MG && var93 == Autre714 && var94 == CRIT1503 ) || ( var1 == J64 && var3 == MG && var93 == Autre714 && var94 == EU93 ) || ( var1 == J64 && var3 == MG && var93 == Autre714 && var94 == EU96 ) || ( var1 == J64 && var3 == MG && var93 == Autre714 && var94 == EU00 ) || ( var1 == J64 && var3 == MY && var93 == Autre714 && var94 == CRIT1503 ) || ( var1 == J64 && var3 == MY && var93 == Autre714 && var94 == EU93 ) || ( var1 == J64 && var3 == MY && var93 == Autre714 && var94 == EU96 ) || ( var1 == J64 && var3 == MY && var93 == Autre714 && var94 == EU00 ) || ( var1 == J64 && var3 == NM2K && var93 == Autre714 && var94 == CRIT1503 ) || ( var1 == J64 && var3 == NM2K && var93 == Autre714 && var94 == EU93 ) || ( var1 == J64 && var3 == NM2K && var93 == Autre714 && var94 == EU96 ) || ( var1 == J64 && var3 == NM2K && var93 == Autre714 && var94 == EU00 ) || ( var1 == J64 && var3 == NM0C && var93 == Autre714 && var94 == CRIT1503 ) || ( var1 == J64 && var3 == NM0C && var93 == Autre714 && var94 == EU93 ) || ( var1 == J64 && var3 == NM0C && var93 == Autre714 && var94 == EU96 ) || ( var1 == J64 && var3 == NM0C && var93 == Autre714 && var94 == EU00 ) || ( var1 == J64 && var3 == ND1G && var93 == Autre714 && var94 == CRIT1503 ) || ( var1 == J64 && var3 == ND1G && var93 == Autre714 && var94 == EU93 ) || ( var1 == J64 && var3 == ND1G && var93 == Autre714 && var94 == EU96 ) || ( var1 == J64 && var3 == ND1G && var93 == Autre714 && var94 == EU00 ) || ( var1 == B64 && var3 == M5 && var93 == Autre714 && var94 == CRIT1503 ) || ( var1 == B64 && var3 == M5 && var93 == Autre714 && var94 == EU93 ) || ( var1 == B64 && var3 == M5 && var93 == Autre714 && var94 == EU96 ) || ( var1 == B64 && var3 == M5 && var93 == Autre714 && var94 == EU00 ) || ( var1 == B64 && var3 == M6 && var93 == Autre714 && var94 == CRIT1503 ) || ( var1 == B64 && var3 == M6 && var93 == Autre714 && var94 == EU93 ) || ( var1 == B64 && var3 == M6 && var93 == Autre714 && var94 == EU96 ) || ( var1 == B64 && var3 == M6 && var93 == Autre714 && var94 == EU00 ) || ( var1 == B64 && var3 == M7 && var93 == Autre714 && var94 == CRIT1503 ) || ( var1 == B64 && var3 == M7 && var93 == Autre714 && var94 == EU93 ) || ( var1 == B64 && var3 == M7 && var93 == Autre714 && var94 == EU96 ) || ( var1 == B64 && var3 == M7 && var93 == Autre714 && var94 == EU00 ) || ( var1 == B64 && var3 == M8 && var93 == Autre714 && var94 == CRIT1503 ) || ( var1 == B64 && var3 == M8 && var93 == Autre714 && var94 == EU93 ) || ( var1 == B64 && var3 == M8 && var93 == Autre714 && var94 == EU96 ) || ( var1 == B64 && var3 == M8 && var93 == Autre714 && var94 == EU00 ) || ( var1 == B64 && var3 == M9 && var93 == Autre714 && var94 == CRIT1503 ) || ( var1 == B64 && var3 == M9 && var93 == Autre714 && var94 == EU93 ) || ( var1 == B64 && var3 == M9 && var93 == Autre714 && var94 == EU96 ) || ( var1 == B64 && var3 == M9 && var93 == Autre714 && var94 == EU00 ) || ( var1 == B64 && var3 == MA && var93 == Autre714 && var94 == CRIT1503 ) || ( var1 == B64 && var3 == MA && var93 == Autre714 && var94 == EU93 ) || ( var1 == B64 && var3 == MA && var93 == Autre714 && var94 == EU96 ) || ( var1 == B64 && var3 == MA && var93 == Autre714 && var94 == EU00 ) || ( var1 == B64 && var3 == MB && var93 == Autre714 && var94 == CRIT1503 ) || ( var1 == B64 && var3 == MB && var93 == Autre714 && var94 == EU93 ) || ( var1 == B64 && var3 == MB && var93 == Autre714 && var94 == EU96 ) || ( var1 == B64 && var3 == MB && var93 == Autre714 && var94 == EU00 ) || ( var1 == B64 && var3 == MC && var93 == Autre714 && var94 == CRIT1503 ) || ( var1 == B64 && var3 == MC && var93 == Autre714 && var94 == EU93 ) || ( var1 == B64 && var3 == MC && var93 == Autre714 && var94 == EU96 ) || ( var1 == B64 && var3 == MC && var93 == Autre714 && var94 == EU00 ) || ( var1 == B64 && var3 == MD && var93 == Autre714 && var94 == CRIT1503 ) || ( var1 == B64 && var3 == MD && var93 == Autre714 && var94 == EU93 ) || ( var1 == B64 && var3 == MD && var93 == Autre714 && var94 == EU96 ) || ( var1 == B64 && var3 == MD && var93 == Autre714 && var94 == EU00 ) || ( var1 == B64 && var3 == ME && var93 == Autre714 && var94 == CRIT1503 ) || ( var1 == B64 && var3 == ME && var93 == Autre714 && var94 == EU93 ) || ( var1 == B64 && var3 == ME && var93 == Autre714 && var94 == EU96 ) || ( var1 == B64 && var3 == ME && var93 == Autre714 && var94 == EU00 ) || ( var1 == B64 && var3 == MF && var93 == Autre714 && var94 == CRIT1503 ) || ( var1 == B64 && var3 == MF && var93 == Autre714 && var94 == EU93 ) || ( var1 == B64 && var3 == MF && var93 == Autre714 && var94 == EU96 ) || ( var1 == B64 && var3 == MF && var93 == Autre714 && var94 == EU00 ) || ( var1 == B64 && var3 == MJ && var93 == Autre714 && var94 == CRIT1503 ) || ( var1 == B64 && var3 == MJ && var93 == Autre714 && var94 == EU93 ) || ( var1 == B64 && var3 == MJ && var93 == Autre714 && var94 == EU96 ) || ( var1 == B64 && var3 == MJ && var93 == Autre714 && var94 == EU00 ) || ( var1 == B64 && var3 == MK && var93 == Autre714 && var94 == CRIT1503 ) || ( var1 == B64 && var3 == MK && var93 == Autre714 && var94 == EU93 ) || ( var1 == B64 && var3 == MK && var93 == Autre714 && var94 == EU96 ) || ( var1 == B64 && var3 == MK && var93 == Autre714 && var94 == EU00 ) || ( var1 == B64 && var3 == ML && var93 == Autre714 && var94 == CRIT1503 ) || ( var1 == B64 && var3 == ML && var93 == Autre714 && var94 == EU93 ) || ( var1 == B64 && var3 == ML && var93 == Autre714 && var94 == EU96 ) || ( var1 == B64 && var3 == ML && var93 == Autre714 && var94 == EU00 ) || ( var1 == B64 && var3 == MM && var93 == Autre714 && var94 == CRIT1503 ) || ( var1 == B64 && var3 == MM && var93 == Autre714 && var94 == EU93 ) || ( var1 == B64 && var3 == MM && var93 == Autre714 && var94 == EU96 ) || ( var1 == B64 && var3 == MM && var93 == Autre714 && var94 == EU00 ) || ( var1 == B64 && var3 == MS && var93 == Autre714 && var94 == CRIT1503 ) || ( var1 == B64 && var3 == MS && var93 == Autre714 && var94 == EU93 ) || ( var1 == B64 && var3 == MS && var93 == Autre714 && var94 == EU96 ) || ( var1 == B64 && var3 == MS && var93 == Autre714 && var94 == EU00 ) || ( var1 == B64 && var3 == MT && var93 == Autre714 && var94 == CRIT1503 ) || ( var1 == B64 && var3 == MT && var93 == Autre714 && var94 == EU93 ) || ( var1 == B64 && var3 == MT && var93 == Autre714 && var94 == EU96 ) || ( var1 == B64 && var3 == MT && var93 == Autre714 && var94 == EU00 ) || ( var1 == B64 && var3 == MU && var93 == Autre714 && var94 == CRIT1503 ) || ( var1 == B64 && var3 == MU && var93 == Autre714 && var94 == EU93 ) || ( var1 == B64 && var3 == MU && var93 == Autre714 && var94 == EU96 ) || ( var1 == B64 && var3 == MU && var93 == Autre714 && var94 == EU00 ) || ( var1 == B64 && var3 == MN && var93 == Autre714 && var94 == CRIT1503 ) || ( var1 == B64 && var3 == MN && var93 == Autre714 && var94 == EU93 ) || ( var1 == B64 && var3 == MN && var93 == Autre714 && var94 == EU96 ) || ( var1 == B64 && var3 == MN && var93 == Autre714 && var94 == EU00 ) || ( var1 == B64 && var3 == MH && var93 == Autre714 && var94 == CRIT1503 ) || ( var1 == B64 && var3 == MH && var93 == Autre714 && var94 == EU93 ) || ( var1 == B64 && var3 == MH && var93 == Autre714 && var94 == EU96 ) || ( var1 == B64 && var3 == MH && var93 == Autre714 && var94 == EU00 ) || ( var1 == B64 && var3 == MG && var93 == BVDIF && var94 == EU96 ) || ( var1 == B64 && var3 == MG && var93 == Autre714 && var94 == CRIT1503 ) || ( var1 == B64 && var3 == MG && var93 == Autre714 && var94 == EU93 ) || ( var1 == B64 && var3 == MG && var93 == Autre714 && var94 == EU96 ) || ( var1 == B64 && var3 == MG && var93 == Autre714 && var94 == EU00 ) || ( var1 == B64 && var3 == MY && var93 == Autre714 && var94 == CRIT1503 ) || ( var1 == B64 && var3 == MY && var93 == Autre714 && var94 == EU93 ) || ( var1 == B64 && var3 == MY && var93 == Autre714 && var94 == EU96 ) || ( var1 == B64 && var3 == MY && var93 == Autre714 && var94 == EU00 ) || ( var1 == B64 && var3 == NM2K && var93 == Autre714 && var94 == CRIT1503 ) || ( var1 == B64 && var3 == NM2K && var93 == Autre714 && var94 == EU93 ) || ( var1 == B64 && var3 == NM2K && var93 == Autre714 && var94 == EU96 ) || ( var1 == B64 && var3 == NM2K && var93 == Autre714 && var94 == EU00 ) || ( var1 == B64 && var3 == NM0C && var93 == Autre714 && var94 == CRIT1503 ) || ( var1 == B64 && var3 == NM0C && var93 == Autre714 && var94 == EU93 ) || ( var1 == B64 && var3 == NM0C && var93 == Autre714 && var94 == EU96 ) || ( var1 == B64 && var3 == NM0C && var93 == Autre714 && var94 == EU00 ) || ( var1 == B64 && var3 == ND1G && var93 == Autre714 && var94 == CRIT1503 ) || ( var1 == B64 && var3 == ND1G && var93 == Autre714 && var94 == EU93 ) || ( var1 == B64 && var3 == ND1G && var93 == Autre714 && var94 == EU96 ) || ( var1 == B64 && var3 == ND1G && var93 == Autre714 && var94 == EU00 ) || ( var1 == F64 && var3 == MJ && var93 == Autre714 && var94 == EU96 ) || ( var1 == F64 && var3 == MK && var93 == Autre714 && var94 == EU96 ) || ( var1 == F64 && var3 == MY && var93 == Autre714 && var94 == EU96 ) || ( var1 == K25 && var3 == M6 && var93 == Autre714 && var94 == CRIT1503 ) || ( var1 == K25 && var3 == M6 && var93 == Autre714 && var94 == EU93 ) || ( var1 == K25 && var3 == M6 && var93 == Autre714 && var94 == EU96 ) || ( var1 == K25 && var3 == M6 && var93 == Autre714 && var94 == EU00 ) || ( var1 == K25 && var3 == MD && var93 == Autre714 && var94 == CRIT1503 ) || ( var1 == K25 && var3 == MD && var93 == Autre714 && var94 == EU93 ) || ( var1 == K25 && var3 == MD && var93 == Autre714 && var94 == EU96 ) || ( var1 == K25 && var3 == MD && var93 == Autre714 && var94 == EU00 ) || ( var1 == K25 && var3 == ME && var93 == Autre714 && var94 == CRIT1503 ) || ( var1 == K25 && var3 == ME && var93 == Autre714 && var94 == EU93 ) || ( var1 == K25 && var3 == ME && var93 == Autre714 && var94 == EU96 ) || ( var1 == K25 && var3 == ME && var93 == Autre714 && var94 == EU00 ) || ( var1 == K25 && var3 == MF && var93 == Autre714 && var94 == CRIT1503 ) || ( var1 == K25 && var3 == MF && var93 == Autre714 && var94 == EU93 ) || ( var1 == K25 && var3 == MF && var93 == Autre714 && var94 == EU96 ) || ( var1 == K25 && var3 == MF && var93 == Autre714 && var94 == EU00 ) || ( var1 == K25 && var3 == MJ && var93 == Autre714 && var94 == CRIT1503 ) || ( var1 == K25 && var3 == MJ && var93 == Autre714 && var94 == EU93 ) || ( var1 == K25 && var3 == MJ && var93 == Autre714 && var94 == EU96 ) || ( var1 == K25 && var3 == MJ && var93 == Autre714 && var94 == EU00 ) || ( var1 == K25 && var3 == ML && var93 == Autre714 && var94 == CRIT1503 ) || ( var1 == K25 && var3 == ML && var93 == Autre714 && var94 == EU93 ) || ( var1 == K25 && var3 == ML && var93 == Autre714 && var94 == EU96 ) || ( var1 == K25 && var3 == ML && var93 == Autre714 && var94 == EU00 ) || ( var1 == V25 && var3 == MJ && var93 == Autre714 && var94 == EU96 ) ) ); ( ( ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == FRAN && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == DOTO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == ALLE && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == AUTR && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == BELG && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == DANE && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == ESPA && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == FINL && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == GRBR && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == GREC && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == HOLL && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == IRLA && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == ISLA && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == ITAL && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == NORV && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == PORT && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == SUED && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == SUIS && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == YOUG && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == MAGH && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == TURQ && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == ARGE && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == BRES && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == CHIL && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == COLO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == AFSU && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == MARO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == ISRA && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == HONG && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == TCHE && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == POLO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == SLVQ && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == JAPO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == TAIW && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == AUST && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == URUG && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == DAIB && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == DAIC && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == DAID && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == DAIF && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == EUOR && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == CETI && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == FRAN && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == DOTO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == ALLE && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == AUTR && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == BELG && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == DANE && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == ESPA && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == FINL && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == GRBR && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == GREC && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == HOLL && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == IRLA && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == ISLA && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == ITAL && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == NORV && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == PORT && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == SUED && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == SUIS && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == YOUG && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == MAGH && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == TURQ && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == ARGE && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == BRES && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == CHIL && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == COLO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == AFSU && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == MARO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == ISRA && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == HONG && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == TCHE && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == POLO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == SLVQ && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == JAPO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == TAIW && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == AUST && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == URUG && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == DAIB && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == DAIC && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == DAID && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == DAIF && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == EUOR && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == CETI && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == FRAN && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == DOTO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == ALLE && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == AUTR && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == BELG && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == DANE && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == ESPA && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == FINL && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == GRBR && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == GREC && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == HOLL && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == IRLA && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == ISLA && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == ITAL && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == NORV && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == PORT && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == SUED && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == SUIS && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == YOUG && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == MAGH && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == TURQ && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == ARGE && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == BRES && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == CHIL && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == COLO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == AFSU && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == MARO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == ISRA && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == HONG && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == TCHE && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == POLO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == SLVQ && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == JAPO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == TAIW && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == AUST && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == URUG && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == DAIB && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == DAIC && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == DAID && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == DAIF && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == EUOR && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == CETI && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == FRAN && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == DOTO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == ALLE && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == AUTR && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == BELG && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == DANE && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == ESPA && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == FINL && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == GRBR && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == GREC && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == HOLL && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == IRLA && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == ISLA && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == ITAL && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == NORV && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == PORT && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == SUED && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == SUIS && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == YOUG && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == MAGH && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == TURQ && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == ARGE && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == BRES && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == CHIL && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == COLO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == AFSU && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == MARO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == ISRA && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == HONG && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == TCHE && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == POLO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == SLVQ && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == JAPO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == TAIW && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == AUST && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == URUG && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == DAIB && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == DAIC && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == DAID && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == DAIF && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == EUOR && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == CETI && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == FRAN && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == FRAN && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == FRAN && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == DOTO && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == DOTO && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == DOTO && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == ALLE && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == ALLE && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == ALLE && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == AUTR && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == AUTR && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == AUTR && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == BELG && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == BELG && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == BELG && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == DANE && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == DANE && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == DANE && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == ESPA && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == ESPA && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == ESPA && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == FINL && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == FINL && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == FINL && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == GRBR && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == GRBR && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == GRBR && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == GREC && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == GREC && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == GREC && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == HOLL && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == HOLL && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == HOLL && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == IRLA && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == IRLA && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == IRLA && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == ISLA && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == ISLA && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == ISLA && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == ITAL && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == ITAL && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == ITAL && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == NORV && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == NORV && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == NORV && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == PORT && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == PORT && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == PORT && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == SUED && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == SUED && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == SUED && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == SUIS && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == SUIS && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == SUIS && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == YOUG && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == YOUG && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == YOUG && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == CHIL && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == CHIL && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == CHIL && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == AFSU && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == AFSU && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == AFSU && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == ISRA && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == ISRA && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == ISRA && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == HONG && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == HONG && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == HONG && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == TCHE && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == TCHE && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == TCHE && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == POLO && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == POLO && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == POLO && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == SLVQ && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == SLVQ && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == SLVQ && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == JAPO && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == JAPO && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == JAPO && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == TAIW && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == TAIW && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == TAIW && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == AUST && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == AUST && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == AUST && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == URUG && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == URUG && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == URUG && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == DAID && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == DAID && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == DAID && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == DAIF && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == DAIF && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == DAIF && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == EUOR && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == EUOR && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == EUOR && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == FRAN && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == FRAN && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == FRAN && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == DOTO && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == DOTO && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == DOTO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == ALLE && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == ALLE && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == ALLE && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == AUTR && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == AUTR && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == AUTR && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == BELG && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == BELG && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == BELG && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == DANE && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == DANE && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == DANE && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == ESPA && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == ESPA && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == ESPA && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == FINL && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == FINL && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == FINL && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == GRBR && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == GRBR && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == GRBR && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == GREC && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == GREC && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == GREC && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == HOLL && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == HOLL && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == HOLL && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == IRLA && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == IRLA && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == IRLA && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == ISLA && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == ISLA && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == ISLA && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == ITAL && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == ITAL && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == ITAL && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == NORV && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == NORV && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == NORV && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == PORT && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == PORT && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == PORT && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == SUED && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == SUED && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == SUED && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == SUIS && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == SUIS && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == SUIS && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == YOUG && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == YOUG && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == YOUG && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == MAGH && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == MAGH && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == MAGH && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == TURQ && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == TURQ && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == TURQ && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == ARGE && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == ARGE && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == ARGE && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == BRES && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == BRES && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == BRES && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == CHIL && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == CHIL && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == CHIL && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == COLO && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == COLO && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == COLO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == AFSU && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == AFSU && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == AFSU && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == MARO && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == MARO && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == MARO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == ISRA && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == ISRA && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == ISRA && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == HONG && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == HONG && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == HONG && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == TCHE && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == TCHE && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == TCHE && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == POLO && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == POLO && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == POLO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == SLVQ && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == SLVQ && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == SLVQ && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == JAPO && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == JAPO && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == JAPO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == TAIW && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == TAIW && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == TAIW && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == AUST && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == AUST && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == AUST && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == URUG && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == URUG && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == URUG && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == DAIB && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == DAIB && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == DAIB && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == DAIC && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == DAIC && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == DAIC && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == DAID && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == DAID && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == DAID && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == DAIF && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == DAIF && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == DAIF && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == EUOR && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == EUOR && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == EUOR && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == CETI && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == CETI && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == CETI && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == FRAN && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == FRAN && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == FRAN && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == DOTO && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == DOTO && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == DOTO && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == ALLE && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == ALLE && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == ALLE && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == AUTR && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == AUTR && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == AUTR && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == BELG && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == BELG && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == BELG && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == DANE && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == DANE && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == DANE && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == ESPA && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == ESPA && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == ESPA && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == FINL && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == FINL && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == FINL && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == GRBR && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == GRBR && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == GRBR && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == GREC && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == GREC && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == GREC && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == HOLL && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == HOLL && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == HOLL && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == IRLA && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == IRLA && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == IRLA && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == ISLA && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == ISLA && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == ISLA && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == ITAL && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == ITAL && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == ITAL && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == NORV && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == NORV && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == NORV && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == PORT && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == PORT && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == PORT && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == SUED && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == SUED && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == SUED && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == SUIS && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == SUIS && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == SUIS && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == YOUG && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == YOUG && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == YOUG && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == MAGH && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == MAGH && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == MAGH && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == TURQ && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == TURQ && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == TURQ && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == ARGE && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == ARGE && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == ARGE && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == BRES && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == BRES && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == BRES && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == CHIL && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == CHIL && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == CHIL && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == COLO && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == COLO && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == COLO && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == AFSU && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == AFSU && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == AFSU && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == MARO && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == MARO && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == MARO && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == ISRA && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == ISRA && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == ISRA && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == HONG && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == HONG && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == HONG && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == TCHE && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == TCHE && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == TCHE && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == POLO && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == POLO && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == POLO && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == SLVQ && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == SLVQ && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == SLVQ && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == JAPO && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == JAPO && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == JAPO && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == TAIW && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == TAIW && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == TAIW && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == AUST && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == AUST && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == AUST && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == URUG && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == URUG && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == URUG && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == DAIB && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == DAIB && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == DAIB && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == DAIC && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == DAIC && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == DAIC && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == DAID && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == DAID && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == DAID && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == DAIF && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == DAIF && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == DAIF && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == EUOR && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == EUOR && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == EUOR && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == CETI && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == CETI && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == CETI && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == FRAN && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == FRAN && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == FRAN && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == DOTO && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == DOTO && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == DOTO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == ALLE && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == ALLE && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == ALLE && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == AUTR && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == AUTR && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == AUTR && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == BELG && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == BELG && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == BELG && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == DANE && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == DANE && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == DANE && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == ESPA && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == ESPA && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == ESPA && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == FINL && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == FINL && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == FINL && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == GRBR && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == GRBR && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == GRBR && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == GREC && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == GREC && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == GREC && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == HOLL && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == HOLL && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == HOLL && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == IRLA && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == IRLA && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == IRLA && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == ISLA && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == ISLA && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == ISLA && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == ITAL && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == ITAL && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == ITAL && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == NORV && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == NORV && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == NORV && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == PORT && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == PORT && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == PORT && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == SUED && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == SUED && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == SUED && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == SUIS && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == SUIS && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == SUIS && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == YOUG && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == YOUG && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == YOUG && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == MAGH && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == MAGH && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == MAGH && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == TURQ && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == TURQ && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == TURQ && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == ARGE && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == ARGE && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == ARGE && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == BRES && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == BRES && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == BRES && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == CHIL && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == CHIL && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == CHIL && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == COLO && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == COLO && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == COLO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == AFSU && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == AFSU && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == AFSU && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == MARO && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == MARO && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == MARO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == ISRA && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == ISRA && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == ISRA && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == HONG && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == HONG && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == HONG && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == TCHE && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == TCHE && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == TCHE && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == POLO && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == POLO && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == POLO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == SLVQ && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == SLVQ && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == SLVQ && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == JAPO && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == JAPO && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == JAPO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == TAIW && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == TAIW && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == TAIW && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == AUST && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == AUST && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == AUST && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == URUG && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == URUG && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == URUG && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == DAIB && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == DAIB && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == DAIB && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == DAIC && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == DAIC && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == DAIC && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == DAID && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == DAID && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == DAID && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == DAIF && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == DAIF && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == DAIF && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == EUOR && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == EUOR && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == EUOR && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == CETI && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == CETI && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == CETI && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == FRAN && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == FRAN && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == FRAN && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == DOTO && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == DOTO && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == DOTO && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == ALLE && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == ALLE && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == ALLE && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == AUTR && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == AUTR && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == AUTR && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == BELG && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == BELG && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == BELG && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == DANE && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == DANE && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == DANE && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == ESPA && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == ESPA && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == ESPA && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == FINL && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == FINL && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == FINL && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == GRBR && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == GRBR && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == GRBR && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == GREC && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == GREC && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == GREC && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == HOLL && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == HOLL && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == HOLL && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == IRLA && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == IRLA && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == IRLA && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == ISLA && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == ISLA && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == ISLA && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == ITAL && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == ITAL && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == ITAL && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == NORV && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == NORV && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == NORV && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == PORT && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == PORT && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == PORT && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == SUED && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == SUED && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == SUED && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == SUIS && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == SUIS && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == SUIS && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == YOUG && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == YOUG && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == YOUG && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == CHIL && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == CHIL && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == CHIL && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == AFSU && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == AFSU && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == AFSU && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == ISRA && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == ISRA && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == ISRA && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == HONG && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == HONG && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == HONG && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == TCHE && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == TCHE && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == TCHE && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == POLO && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == POLO && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == POLO && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == SLVQ && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == SLVQ && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == SLVQ && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == JAPO && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == JAPO && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == JAPO && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == TAIW && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == TAIW && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == TAIW && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == AUST && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == AUST && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == AUST && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == URUG && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == URUG && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == URUG && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == DAID && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == DAID && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == DAID && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == DAIF && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == DAIF && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == DAIF && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == EUOR && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == EUOR && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == EUOR && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == FRAN && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == FRAN && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == FRAN && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == DOTO && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == DOTO && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == DOTO && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == ALLE && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == ALLE && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == ALLE && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == AUTR && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == AUTR && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == AUTR && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == BELG && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == BELG && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == BELG && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == DANE && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == DANE && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == DANE && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == ESPA && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == ESPA && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == ESPA && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == FINL && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == FINL && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == FINL && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == GRBR && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == GRBR && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == GRBR && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == GREC && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == GREC && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == GREC && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == HOLL && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == HOLL && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == HOLL && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == IRLA && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == IRLA && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == IRLA && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == ISLA && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == ISLA && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == ISLA && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == ITAL && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == ITAL && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == ITAL && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == NORV && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == NORV && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == NORV && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == PORT && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == PORT && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == PORT && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == SUED && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == SUED && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == SUED && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == SUIS && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == SUIS && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == SUIS && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == YOUG && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == YOUG && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == YOUG && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == MAGH && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == MAGH && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == MAGH && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == TURQ && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == TURQ && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == TURQ && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == ARGE && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == ARGE && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == ARGE && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == BRES && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == BRES && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == BRES && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == CHIL && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == CHIL && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == CHIL && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == COLO && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == COLO && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == COLO && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == AFSU && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == AFSU && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == AFSU && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == MARO && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == MARO && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == MARO && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == ISRA && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == ISRA && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == ISRA && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == HONG && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == HONG && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == HONG && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == TCHE && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == TCHE && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == TCHE && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == POLO && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == POLO && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == POLO && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == SLVQ && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == SLVQ && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == SLVQ && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == JAPO && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == JAPO && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == JAPO && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == TAIW && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == TAIW && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == TAIW && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == AUST && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == AUST && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == AUST && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == URUG && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == URUG && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == URUG && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == DAIB && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == DAIB && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == DAIB && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == DAIC && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == DAIC && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == DAIC && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == DAID && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == DAID && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == DAID && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == DAIF && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == DAIF && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == DAIF && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == EUOR && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == EUOR && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == EUOR && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == CETI && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == CETI && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == CETI && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == FRAN && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == FRAN && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == FRAN && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == DOTO && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == DOTO && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == DOTO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == ALLE && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == ALLE && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == ALLE && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == AUTR && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == AUTR && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == AUTR && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == BELG && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == BELG && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == BELG && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == DANE && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == DANE && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == DANE && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == ESPA && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == ESPA && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == ESPA && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == FINL && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == FINL && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == FINL && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == GRBR && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == GRBR && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == GRBR && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == GREC && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == GREC && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == GREC && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == HOLL && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == HOLL && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == HOLL && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == IRLA && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == IRLA && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == IRLA && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == ISLA && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == ISLA && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == ISLA && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == ITAL && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == ITAL && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == ITAL && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == NORV && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == NORV && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == NORV && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == PORT && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == PORT && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == PORT && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == SUED && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == SUED && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == SUED && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == SUIS && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == SUIS && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == SUIS && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == YOUG && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == YOUG && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == YOUG && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == MAGH && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == MAGH && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == MAGH && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == TURQ && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == TURQ && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == TURQ && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == ARGE && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == ARGE && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == ARGE && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == BRES && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == BRES && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == BRES && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == CHIL && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == CHIL && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == CHIL && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == COLO && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == COLO && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == COLO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == AFSU && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == AFSU && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == AFSU && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == MARO && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == MARO && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == MARO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == ISRA && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == ISRA && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == ISRA && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == HONG && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == HONG && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == HONG && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == TCHE && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == TCHE && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == TCHE && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == POLO && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == POLO && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == POLO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == SLVQ && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == SLVQ && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == SLVQ && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == JAPO && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == JAPO && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == JAPO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == TAIW && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == TAIW && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == TAIW && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == AUST && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == AUST && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == AUST && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == URUG && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == URUG && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == URUG && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == DAIB && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == DAIB && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == DAIB && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == DAIC && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == DAIC && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == DAIC && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == DAID && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == DAID && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == DAID && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == DAIF && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == DAIF && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == DAIF && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == EUOR && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == EUOR && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == EUOR && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == CETI && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == CETI && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == CETI && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) ) || ( ( var1 == L64 && var2 == E1 && var3 == MN && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == FRAN && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == FRAN && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == FRAN && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == DOTO && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == DOTO && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == DOTO && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == ALLE && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == ALLE && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == ALLE && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == AUTR && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == AUTR && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == AUTR && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == BELG && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == BELG && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == BELG && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == DANE && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == DANE && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == DANE && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == ESPA && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == ESPA && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == ESPA && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == FINL && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == FINL && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == FINL && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == GRBR && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == GRBR && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == GRBR && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == GREC && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == GREC && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == GREC && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == HOLL && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == HOLL && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == HOLL && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == IRLA && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == IRLA && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == IRLA && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == ISLA && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == ISLA && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == ISLA && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == ITAL && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == ITAL && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == ITAL && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == NORV && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == NORV && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == NORV && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == PORT && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == PORT && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == PORT && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == SUED && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == SUED && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == SUED && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == SUIS && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == SUIS && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == SUIS && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == YOUG && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == YOUG && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == YOUG && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == MAGH && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == MAGH && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == MAGH && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == TURQ && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == TURQ && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == TURQ && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == ARGE && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == ARGE && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == ARGE && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == BRES && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == BRES && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == BRES && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == CHIL && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == CHIL && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == CHIL && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == COLO && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == COLO && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == COLO && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == AFSU && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == AFSU && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == AFSU && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == MARO && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == MARO && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == MARO && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == ISRA && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == ISRA && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == ISRA && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == HONG && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == HONG && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == HONG && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == TCHE && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == TCHE && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == TCHE && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == POLO && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == POLO && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == POLO && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == SLVQ && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == SLVQ && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == SLVQ && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == JAPO && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == JAPO && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == JAPO && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == TAIW && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == TAIW && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == TAIW && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == AUST && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == AUST && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == AUST && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == URUG && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == URUG && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == URUG && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == DAIB && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == DAIB && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == DAIB && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == DAIC && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == DAIC && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == DAIC && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == DAID && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == DAID && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == DAID && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == DAIF && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == DAIF && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == DAIF && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == EUOR && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == EUOR && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == EUOR && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == CETI && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == CETI && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == CETI && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == FRAN && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == FRAN && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == FRAN && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == DOTO && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == DOTO && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == DOTO && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == ALLE && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == ALLE && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == ALLE && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == AUTR && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == AUTR && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == AUTR && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == BELG && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == BELG && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == BELG && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == DANE && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == DANE && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == DANE && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == ESPA && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == ESPA && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == ESPA && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == FINL && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == FINL && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == FINL && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == GRBR && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == GRBR && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == GRBR && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == GREC && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == GREC && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == GREC && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == HOLL && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == HOLL && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == HOLL && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == IRLA && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == IRLA && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == IRLA && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == ISLA && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == ISLA && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == ISLA && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == ITAL && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == ITAL && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == ITAL && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == NORV && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == NORV && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == NORV && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == PORT && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == PORT && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == PORT && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == SUED && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == SUED && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == SUED && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == SUIS && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == SUIS && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == SUIS && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == YOUG && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == YOUG && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == YOUG && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == CHIL && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == CHIL && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == CHIL && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == AFSU && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == AFSU && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == AFSU && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == ISRA && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == ISRA && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == ISRA && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == HONG && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == HONG && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == HONG && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == TCHE && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == TCHE && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == TCHE && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == POLO && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == POLO && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == POLO && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == SLVQ && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == SLVQ && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == SLVQ && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == JAPO && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == JAPO && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == JAPO && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == TAIW && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == TAIW && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == TAIW && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == AUST && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == AUST && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == AUST && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == URUG && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == URUG && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == URUG && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == DAID && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == DAID && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == DAID && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == DAIF && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == DAIF && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == DAIF && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == EUOR && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == EUOR && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == EUOR && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == FRAN && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == FRAN && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == FRAN && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == DOTO && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == DOTO && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == DOTO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == ALLE && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == ALLE && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == ALLE && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == AUTR && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == AUTR && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == AUTR && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == BELG && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == BELG && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == BELG && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == DANE && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == DANE && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == DANE && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == ESPA && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == ESPA && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == ESPA && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == FINL && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == FINL && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == FINL && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == GRBR && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == GRBR && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == GRBR && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == GREC && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == GREC && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == GREC && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == HOLL && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == HOLL && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == HOLL && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == IRLA && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == IRLA && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == IRLA && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == ISLA && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == ISLA && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == ISLA && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == ITAL && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == ITAL && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == ITAL && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == NORV && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == NORV && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == NORV && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == PORT && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == PORT && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == PORT && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == SUED && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == SUED && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == SUED && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == SUIS && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == SUIS && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == SUIS && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == YOUG && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == YOUG && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == YOUG && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == MAGH && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == MAGH && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == MAGH && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == TURQ && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == TURQ && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == TURQ && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == ARGE && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == ARGE && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == ARGE && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == BRES && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == BRES && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == BRES && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == CHIL && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == CHIL && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == CHIL && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == COLO && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == COLO && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == COLO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == AFSU && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == AFSU && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == AFSU && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == MARO && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == MARO && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == MARO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == ISRA && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == ISRA && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == ISRA && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == HONG && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == HONG && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == HONG && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == TCHE && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == TCHE && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == TCHE && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == POLO && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == POLO && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == POLO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == SLVQ && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == SLVQ && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == SLVQ && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == JAPO && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == JAPO && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == JAPO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == TAIW && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == TAIW && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == TAIW && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == AUST && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == AUST && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == AUST && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == URUG && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == URUG && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == URUG && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == DAIB && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == DAIB && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == DAIB && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == DAIC && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == DAIC && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == DAIC && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == DAID && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == DAID && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == DAID && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == DAIF && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == DAIF && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == DAIF && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == EUOR && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == EUOR && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == EUOR && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == CETI && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == CETI && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == CETI && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == FRAN && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == FRAN && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == FRAN && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == DOTO && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == DOTO && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == DOTO && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == ALLE && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == ALLE && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == ALLE && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == AUTR && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == AUTR && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == AUTR && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == BELG && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == BELG && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == BELG && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == DANE && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == DANE && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == DANE && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == ESPA && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == ESPA && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == ESPA && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == FINL && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == FINL && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == FINL && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == GRBR && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == GRBR && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == GRBR && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == GREC && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == GREC && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == GREC && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == HOLL && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == HOLL && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == HOLL && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == IRLA && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == IRLA && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == IRLA && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == ISLA && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == ISLA && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == ISLA && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == ITAL && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == ITAL && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == ITAL && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == NORV && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == NORV && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == NORV && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == PORT && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == PORT && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == PORT && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == SUED && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == SUED && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == SUED && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == SUIS && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == SUIS && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == SUIS && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == YOUG && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == YOUG && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == YOUG && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == MAGH && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == MAGH && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == MAGH && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == TURQ && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == TURQ && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == TURQ && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == ARGE && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == ARGE && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == ARGE && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == BRES && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == BRES && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == BRES && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == CHIL && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == CHIL && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == CHIL && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == COLO && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == COLO && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == COLO && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == AFSU && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == AFSU && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == AFSU && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == MARO && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == MARO && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == MARO && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == ISRA && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == ISRA && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == ISRA && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == HONG && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == HONG && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == HONG && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == TCHE && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == TCHE && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == TCHE && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == POLO && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == POLO && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == POLO && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == SLVQ && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == SLVQ && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == SLVQ && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == JAPO && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == JAPO && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == JAPO && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == TAIW && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == TAIW && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == TAIW && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == AUST && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == AUST && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == AUST && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == URUG && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == URUG && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == URUG && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == DAIB && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == DAIB && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == DAIB && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == DAIC && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == DAIC && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == DAIC && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == DAID && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == DAID && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == DAID && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == DAIF && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == DAIF && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == DAIF && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == EUOR && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == EUOR && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == EUOR && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == CETI && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == CETI && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == CETI && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == FRAN && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == FRAN && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == FRAN && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == DOTO && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == DOTO && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == DOTO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == ALLE && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == ALLE && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == ALLE && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == AUTR && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == AUTR && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == AUTR && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == BELG && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == BELG && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == BELG && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == DANE && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == DANE && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == DANE && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == ESPA && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == ESPA && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == ESPA && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == FINL && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == FINL && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == FINL && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == GRBR && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == GRBR && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == GRBR && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == GREC && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == GREC && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == GREC && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == HOLL && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == HOLL && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == HOLL && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == IRLA && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == IRLA && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == IRLA && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == ISLA && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == ISLA && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == ISLA && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == ITAL && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == ITAL && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == ITAL && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == NORV && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == NORV && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == NORV && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == PORT && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == PORT && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == PORT && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == SUED && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == SUED && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == SUED && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == SUIS && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == SUIS && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == SUIS && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == YOUG && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == YOUG && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == YOUG && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == MAGH && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == MAGH && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == MAGH && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == TURQ && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == TURQ && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == TURQ && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == ARGE && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == ARGE && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == ARGE && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == BRES && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == BRES && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == BRES && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == CHIL && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == CHIL && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == CHIL && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == COLO && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == COLO && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == COLO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == AFSU && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == AFSU && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == AFSU && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == MARO && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == MARO && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == MARO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == ISRA && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == ISRA && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == ISRA && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == HONG && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == HONG && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == HONG && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == TCHE && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == TCHE && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == TCHE && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == POLO && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == POLO && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == POLO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == SLVQ && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == SLVQ && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == SLVQ && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == JAPO && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == JAPO && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == JAPO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == TAIW && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == TAIW && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == TAIW && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == AUST && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == AUST && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == AUST && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == URUG && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == URUG && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == URUG && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == DAIB && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == DAIB && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == DAIB && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == DAIC && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == DAIC && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == DAIC && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == DAID && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == DAID && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == DAID && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == DAIF && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == DAIF && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == DAIF && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == EUOR && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == EUOR && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == EUOR && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == CETI && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == CETI && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == CETI && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == FRAN && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == FRAN && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == FRAN && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == DOTO && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == DOTO && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == DOTO && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == ALLE && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == ALLE && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == ALLE && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == AUTR && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == AUTR && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == AUTR && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == BELG && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == BELG && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == BELG && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == DANE && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == DANE && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == DANE && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == ESPA && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == ESPA && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == ESPA && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == FINL && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == FINL && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == FINL && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == GRBR && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == GRBR && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == GRBR && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == GREC && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == GREC && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == GREC && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == HOLL && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == HOLL && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == HOLL && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == IRLA && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == IRLA && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == IRLA && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == ISLA && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == ISLA && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == ISLA && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == ITAL && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == ITAL && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == ITAL && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == NORV && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == NORV && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == NORV && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == PORT && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == PORT && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == PORT && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == SUED && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == SUED && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == SUED && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == SUIS && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == SUIS && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == SUIS && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == YOUG && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == YOUG && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == YOUG && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == CHIL && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == CHIL && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == CHIL && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == AFSU && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == AFSU && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == AFSU && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == ISRA && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == ISRA && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == ISRA && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == HONG && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == HONG && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == HONG && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == TCHE && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == TCHE && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == TCHE && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == POLO && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == POLO && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == POLO && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == SLVQ && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == SLVQ && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == SLVQ && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == JAPO && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == JAPO && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == JAPO && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == TAIW && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == TAIW && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == TAIW && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == AUST && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == AUST && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == AUST && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == URUG && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == URUG && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == URUG && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == DAID && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == DAID && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == DAID && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == DAIF && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == DAIF && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == DAIF && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == EUOR && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == EUOR && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == EUOR && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == FRAN && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == FRAN && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == DOTO && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == DOTO && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == ALLE && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == ALLE && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == AUTR && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == AUTR && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == BELG && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == BELG && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == DANE && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == DANE && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == ESPA && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == ESPA && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == FINL && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == FINL && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == GRBR && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == GRBR && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == GREC && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == GREC && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == HOLL && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == HOLL && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == IRLA && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == IRLA && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == ISLA && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == ISLA && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == ITAL && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == ITAL && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == NORV && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == NORV && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == PORT && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == PORT && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == SUED && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == SUED && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == SUIS && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == SUIS && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == YOUG && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == YOUG && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == CHIL && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == CHIL && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == AFSU && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == AFSU && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == ISRA && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == ISRA && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == HONG && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == HONG && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == TCHE && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == TCHE && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == POLO && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == POLO && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == SLVQ && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == SLVQ && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == JAPO && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == JAPO && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == TAIW && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == TAIW && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == AUST && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == AUST && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == URUG && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == URUG && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == DAID && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == DAID && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == DAIF && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == DAIF && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == EUOR && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == EUOR && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) ) || ( ( var1 == L64 && var2 == E2 && var3 == ML && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == FRAN && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == FRAN && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == FRAN && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == DOTO && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == DOTO && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == DOTO && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == ALLE && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == ALLE && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == ALLE && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == AUTR && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == AUTR && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == AUTR && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == BELG && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == BELG && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == BELG && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == DANE && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == DANE && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == DANE && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == ESPA && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == ESPA && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == ESPA && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == FINL && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == FINL && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == FINL && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == GRBR && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == GRBR && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == GRBR && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == GREC && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == GREC && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == GREC && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == HOLL && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == HOLL && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == HOLL && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == IRLA && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == IRLA && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == IRLA && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == ISLA && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == ISLA && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == ISLA && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == ITAL && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == ITAL && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == ITAL && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == NORV && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == NORV && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == NORV && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == PORT && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == PORT && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == PORT && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == SUED && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == SUED && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == SUED && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == SUIS && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == SUIS && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == SUIS && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == YOUG && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == YOUG && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == YOUG && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == MAGH && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == MAGH && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == MAGH && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == TURQ && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == TURQ && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == TURQ && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == ARGE && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == ARGE && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == ARGE && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == BRES && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == BRES && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == BRES && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == CHIL && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == CHIL && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == CHIL && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == COLO && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == COLO && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == COLO && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == AFSU && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == AFSU && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == AFSU && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == MARO && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == MARO && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == MARO && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == ISRA && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == ISRA && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == ISRA && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == HONG && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == HONG && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == HONG && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == TCHE && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == TCHE && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == TCHE && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == POLO && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == POLO && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == POLO && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == SLVQ && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == SLVQ && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == SLVQ && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == JAPO && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == JAPO && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == JAPO && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == TAIW && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == TAIW && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == TAIW && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == AUST && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == AUST && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == AUST && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == URUG && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == URUG && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == URUG && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == DAIB && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == DAIB && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == DAIB && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == DAIC && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == DAIC && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == DAIC && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == DAID && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == DAID && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == DAID && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == DAIF && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == DAIF && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == DAIF && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == EUOR && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == EUOR && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == EUOR && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == CETI && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == CETI && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == CETI && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == FRAN && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == FRAN && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == FRAN && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == DOTO && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == DOTO && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == DOTO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == ALLE && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == ALLE && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == ALLE && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == AUTR && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == AUTR && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == AUTR && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == BELG && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == BELG && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == BELG && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == DANE && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == DANE && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == DANE && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == ESPA && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == ESPA && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == ESPA && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == FINL && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == FINL && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == FINL && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == GRBR && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == GRBR && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == GRBR && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == GREC && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == GREC && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == GREC && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == HOLL && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == HOLL && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == HOLL && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == IRLA && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == IRLA && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == IRLA && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == ISLA && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == ISLA && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == ISLA && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == ITAL && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == ITAL && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == ITAL && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == NORV && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == NORV && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == NORV && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == PORT && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == PORT && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == PORT && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == SUED && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == SUED && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == SUED && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == SUIS && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == SUIS && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == SUIS && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == YOUG && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == YOUG && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == YOUG && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == MAGH && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == MAGH && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == MAGH && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == TURQ && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == TURQ && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == TURQ && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == ARGE && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == ARGE && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == ARGE && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == BRES && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == BRES && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == BRES && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == CHIL && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == CHIL && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == CHIL && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == COLO && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == COLO && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == COLO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == AFSU && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == AFSU && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == AFSU && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == MARO && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == MARO && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == MARO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == ISRA && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == ISRA && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == ISRA && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == HONG && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == HONG && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == HONG && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == TCHE && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == TCHE && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == TCHE && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == POLO && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == POLO && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == POLO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == SLVQ && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == SLVQ && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == SLVQ && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == JAPO && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == JAPO && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == JAPO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == TAIW && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == TAIW && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == TAIW && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == AUST && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == AUST && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == AUST && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == URUG && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == URUG && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == URUG && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == DAIB && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == DAIB && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == DAIB && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == DAIC && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == DAIC && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == DAIC && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == DAID && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == DAID && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == DAID && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == DAIF && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == DAIF && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == DAIF && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == EUOR && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == EUOR && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == EUOR && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == CETI && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == CETI && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == CETI && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == FRAN && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == FRAN && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == FRAN && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == DOTO && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == DOTO && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == DOTO && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == ALLE && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == ALLE && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == ALLE && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == AUTR && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == AUTR && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == AUTR && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == BELG && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == BELG && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == BELG && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == DANE && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == DANE && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == DANE && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == ESPA && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == ESPA && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == ESPA && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == FINL && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == FINL && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == FINL && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == GRBR && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == GRBR && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == GRBR && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == GREC && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == GREC && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == GREC && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == HOLL && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == HOLL && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == HOLL && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == IRLA && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == IRLA && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == IRLA && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == ISLA && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == ISLA && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == ISLA && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == ITAL && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == ITAL && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == ITAL && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == NORV && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == NORV && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == NORV && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == PORT && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == PORT && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == PORT && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == SUED && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == SUED && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == SUED && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == SUIS && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == SUIS && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == SUIS && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == YOUG && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == YOUG && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == YOUG && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == MAGH && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == MAGH && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == MAGH && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == TURQ && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == TURQ && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == TURQ && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == ARGE && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == ARGE && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == ARGE && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == BRES && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == BRES && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == BRES && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == CHIL && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == CHIL && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == CHIL && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == COLO && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == COLO && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == COLO && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == AFSU && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == AFSU && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == AFSU && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == MARO && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == MARO && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == MARO && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == ISRA && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == ISRA && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == ISRA && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == HONG && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == HONG && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == HONG && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == TCHE && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == TCHE && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == TCHE && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == POLO && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == POLO && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == POLO && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == SLVQ && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == SLVQ && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == SLVQ && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == JAPO && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == JAPO && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == JAPO && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == TAIW && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == TAIW && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == TAIW && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == AUST && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == AUST && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == AUST && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == URUG && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == URUG && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == URUG && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == DAIB && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == DAIB && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == DAIB && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == DAIC && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == DAIC && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == DAIC && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == DAID && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == DAID && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == DAID && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == DAIF && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == DAIF && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == DAIF && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == EUOR && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == EUOR && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == EUOR && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == CETI && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == CETI && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == CETI && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == FRAN && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == FRAN && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == FRAN && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == DOTO && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == DOTO && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == DOTO && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == ALLE && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == ALLE && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == ALLE && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == AUTR && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == AUTR && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == AUTR && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == BELG && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == BELG && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == BELG && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == DANE && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == DANE && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == DANE && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == ESPA && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == ESPA && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == ESPA && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == FINL && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == FINL && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == FINL && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == GRBR && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == GRBR && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == GRBR && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == GREC && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == GREC && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == GREC && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == HOLL && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == HOLL && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == HOLL && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == IRLA && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == IRLA && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == IRLA && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == ISLA && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == ISLA && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == ISLA && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == ITAL && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == ITAL && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == ITAL && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == NORV && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == NORV && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == NORV && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == PORT && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == PORT && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == PORT && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == SUED && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == SUED && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == SUED && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == SUIS && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == SUIS && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == SUIS && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == YOUG && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == YOUG && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == YOUG && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == CHIL && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == CHIL && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == CHIL && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == AFSU && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == AFSU && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == AFSU && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == ISRA && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == ISRA && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == ISRA && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == HONG && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == HONG && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == HONG && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == TCHE && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == TCHE && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == TCHE && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == POLO && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == POLO && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == POLO && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == SLVQ && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == SLVQ && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == SLVQ && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == JAPO && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == JAPO && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == JAPO && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == TAIW && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == TAIW && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == TAIW && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == AUST && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == AUST && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == AUST && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == URUG && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == URUG && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == URUG && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == DAID && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == DAID && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == DAID && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == DAIF && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == DAIF && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == DAIF && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == EUOR && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == EUOR && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == EUOR && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == FRAN && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == FRAN && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == FRAN && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == DOTO && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == DOTO && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == DOTO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == ALLE && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == ALLE && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == ALLE && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == AUTR && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == AUTR && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == AUTR && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == BELG && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == BELG && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == BELG && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == DANE && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == DANE && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == DANE && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == ESPA && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == ESPA && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == ESPA && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == FINL && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == FINL && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == FINL && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == GRBR && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == GRBR && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == GRBR && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == GREC && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == GREC && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == GREC && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == HOLL && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == HOLL && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == HOLL && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == IRLA && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == IRLA && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == IRLA && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == ISLA && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == ISLA && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == ISLA && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == ITAL && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == ITAL && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == ITAL && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == NORV && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == NORV && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == NORV && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == PORT && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == PORT && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == PORT && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == SUED && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == SUED && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == SUED && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == SUIS && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == SUIS && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == SUIS && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == YOUG && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == YOUG && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == YOUG && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == MAGH && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == MAGH && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == MAGH && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == TURQ && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == TURQ && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == TURQ && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == ARGE && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == ARGE && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == ARGE && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == BRES && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == BRES && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == BRES && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == CHIL && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == CHIL && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == CHIL && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == COLO && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == COLO && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == COLO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == AFSU && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == AFSU && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == AFSU && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == MARO && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == MARO && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == MARO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == ISRA && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == ISRA && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == ISRA && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == HONG && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == HONG && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == HONG && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == TCHE && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == TCHE && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == TCHE && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == POLO && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == POLO && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == POLO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == SLVQ && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == SLVQ && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == SLVQ && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == JAPO && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == JAPO && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == JAPO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == TAIW && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == TAIW && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == TAIW && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == AUST && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == AUST && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == AUST && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == URUG && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == URUG && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == URUG && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == DAIB && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == DAIB && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == DAIB && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == DAIC && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == DAIC && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == DAIC && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == DAID && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == DAID && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == DAID && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == DAIF && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == DAIF && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == DAIF && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == EUOR && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == EUOR && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == EUOR && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == CETI && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == CETI && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == CETI && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == FRAN && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == FRAN && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == FRAN && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == DOTO && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == DOTO && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == DOTO && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == ALLE && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == ALLE && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == ALLE && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == AUTR && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == AUTR && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == AUTR && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == BELG && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == BELG && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == BELG && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == DANE && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == DANE && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == DANE && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == ESPA && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == ESPA && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == ESPA && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == FINL && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == FINL && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == FINL && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == GRBR && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == GRBR && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == GRBR && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == GREC && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == GREC && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == GREC && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == HOLL && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == HOLL && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == HOLL && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == IRLA && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == IRLA && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == IRLA && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == ISLA && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == ISLA && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == ISLA && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == ITAL && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == ITAL && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == ITAL && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == NORV && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == NORV && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == NORV && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == PORT && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == PORT && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == PORT && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == SUED && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == SUED && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == SUED && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == SUIS && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == SUIS && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == SUIS && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == YOUG && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == YOUG && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == YOUG && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == MAGH && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == MAGH && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == MAGH && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == TURQ && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == TURQ && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == TURQ && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == ARGE && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == ARGE && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == ARGE && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == BRES && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == BRES && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == BRES && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == CHIL && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == CHIL && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == CHIL && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == COLO && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == COLO && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == COLO && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == AFSU && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == AFSU && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == AFSU && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == MARO && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == MARO && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == MARO && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == ISRA && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == ISRA && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == ISRA && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == HONG && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == HONG && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == HONG && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == TCHE && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == TCHE && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == TCHE && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == POLO && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == POLO && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == POLO && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == SLVQ && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == SLVQ && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == SLVQ && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == JAPO && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == JAPO && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == JAPO && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == TAIW && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == TAIW && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == TAIW && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == AUST && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == AUST && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == AUST && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == URUG && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == URUG && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == URUG && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == DAIB && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == DAIB && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == DAIB && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == DAIC && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == DAIC && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == DAIC && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == DAID && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == DAID && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == DAID && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == DAIF && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == DAIF && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == DAIF && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == EUOR && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == EUOR && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == EUOR && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == CETI && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == CETI && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == CETI && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == FRAN && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == FRAN && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == FRAN && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == DOTO && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == DOTO && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == DOTO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == ALLE && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == ALLE && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == ALLE && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == AUTR && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == AUTR && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == AUTR && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == BELG && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == BELG && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == BELG && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == DANE && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == DANE && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == DANE && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == ESPA && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == ESPA && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == ESPA && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == FINL && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == FINL && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == FINL && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == GRBR && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == GRBR && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == GRBR && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == GREC && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == GREC && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == GREC && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == HOLL && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == HOLL && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == HOLL && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == IRLA && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == IRLA && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == IRLA && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == ISLA && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == ISLA && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == ISLA && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == ITAL && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == ITAL && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == ITAL && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == NORV && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == NORV && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == NORV && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == PORT && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == PORT && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == PORT && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == SUED && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == SUED && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == SUED && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == SUIS && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == SUIS && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == SUIS && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == YOUG && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == YOUG && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == YOUG && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == MAGH && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == MAGH && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == MAGH && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == TURQ && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == TURQ && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == TURQ && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == ARGE && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == ARGE && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == ARGE && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == BRES && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == BRES && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == BRES && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == CHIL && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == CHIL && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == CHIL && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == COLO && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == COLO && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == COLO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == AFSU && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == AFSU && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == AFSU && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == MARO && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == MARO && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == MARO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == ISRA && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == ISRA && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == ISRA && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == HONG && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == HONG && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == HONG && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == TCHE && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == TCHE && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == TCHE && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == POLO && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == POLO && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == POLO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == SLVQ && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == SLVQ && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == SLVQ && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == JAPO && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == JAPO && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == JAPO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == TAIW && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == TAIW && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == TAIW && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == AUST && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == AUST && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == AUST && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == URUG && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == URUG && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == URUG && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == DAIB && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == DAIB && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == DAIB && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == DAIC && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == DAIC && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == DAIC && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == DAID && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == DAID && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == DAID && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == DAIF && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == DAIF && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == DAIF && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == EUOR && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == EUOR && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == EUOR && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == CETI && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == CETI && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == CETI && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == FRAN && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == FRAN && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == FRAN && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == DOTO && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == DOTO && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == DOTO && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == ALLE && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == ALLE && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == ALLE && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == AUTR && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == AUTR && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == AUTR && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == BELG && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == BELG && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == BELG && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == DANE && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == DANE && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == DANE && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == ESPA && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == ESPA && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == ESPA && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == FINL && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == FINL && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == FINL && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == GRBR && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == GRBR && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == GRBR && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == GREC && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == GREC && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == GREC && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == HOLL && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == HOLL && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == HOLL && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == IRLA && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == IRLA && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == IRLA && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == ISLA && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == ISLA && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == ISLA && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == ITAL && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == ITAL && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == ITAL && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == NORV && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == NORV && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == NORV && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == PORT && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == PORT && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == PORT && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == SUED && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == SUED && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == SUED && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == SUIS && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == SUIS && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == SUIS && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == YOUG && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == YOUG && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == YOUG && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == CHIL && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == CHIL && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == CHIL && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == AFSU && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == AFSU && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == AFSU && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == ISRA && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == ISRA && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == ISRA && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == HONG && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == HONG && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == HONG && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == TCHE && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == TCHE && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == TCHE && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == POLO && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == POLO && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == POLO && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == SLVQ && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == SLVQ && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == SLVQ && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == JAPO && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == JAPO && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == JAPO && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == TAIW && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == TAIW && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == TAIW && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == AUST && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == AUST && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == AUST && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == URUG && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == URUG && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == URUG && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == DAID && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == DAID && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == DAID && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == DAIF && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == DAIF && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == DAIF && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == EUOR && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == EUOR && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == EUOR && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == FRAN && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == FRAN && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == DOTO && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == DOTO && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == ALLE && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == ALLE && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == AUTR && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == AUTR && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) ) || ( ( var1 == L64 && var2 == E3 && var3 == MF && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == BELG && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == BELG && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == DANE && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == DANE && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == ESPA && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == ESPA && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == FINL && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == FINL && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == GRBR && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == GRBR && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == GREC && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == GREC && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == HOLL && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == HOLL && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == IRLA && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == IRLA && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == ISLA && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == ISLA && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == ITAL && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == ITAL && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == NORV && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == NORV && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == PORT && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == PORT && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == SUED && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == SUED && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == SUIS && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == SUIS && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == YOUG && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == YOUG && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == CHIL && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == CHIL && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == AFSU && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == AFSU && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == ISRA && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == ISRA && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == HONG && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == HONG && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == TCHE && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == TCHE && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == POLO && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == POLO && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == SLVQ && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == SLVQ && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == JAPO && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == JAPO && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == TAIW && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == TAIW && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == AUST && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == AUST && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == URUG && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == URUG && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == DAID && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == DAID && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == DAIF && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == DAIF && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == EUOR && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == EUOR && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == FRAN && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == FRAN && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == FRAN && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == DOTO && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == DOTO && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == DOTO && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == ALLE && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == ALLE && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == ALLE && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == AUTR && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == AUTR && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == AUTR && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == BELG && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == BELG && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == BELG && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == DANE && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == DANE && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == DANE && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == ESPA && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == ESPA && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == ESPA && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == FINL && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == FINL && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == FINL && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == GRBR && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == GRBR && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == GRBR && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == GREC && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == GREC && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == GREC && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == HOLL && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == HOLL && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == HOLL && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == IRLA && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == IRLA && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == IRLA && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == ISLA && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == ISLA && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == ISLA && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == ITAL && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == ITAL && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == ITAL && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == NORV && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == NORV && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == NORV && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == PORT && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == PORT && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == PORT && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == SUED && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == SUED && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == SUED && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == SUIS && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == SUIS && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == SUIS && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == YOUG && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == YOUG && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == YOUG && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == MAGH && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == MAGH && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == MAGH && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == TURQ && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == TURQ && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == TURQ && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == ARGE && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == ARGE && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == ARGE && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == BRES && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == BRES && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == BRES && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == CHIL && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == CHIL && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == CHIL && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == COLO && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == COLO && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == COLO && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == AFSU && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == AFSU && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == AFSU && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == MARO && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == MARO && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == MARO && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == ISRA && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == ISRA && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == ISRA && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == HONG && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == HONG && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == HONG && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == TCHE && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == TCHE && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == TCHE && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == POLO && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == POLO && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == POLO && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == SLVQ && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == SLVQ && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == SLVQ && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == JAPO && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == JAPO && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == JAPO && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == TAIW && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == TAIW && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == TAIW && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == AUST && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == AUST && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == AUST && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == URUG && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == URUG && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == URUG && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == DAIB && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == DAIB && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == DAIB && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == DAIC && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == DAIC && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == DAIC && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == DAID && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == DAID && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == DAID && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == DAIF && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == DAIF && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == DAIF && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == EUOR && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == EUOR && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == EUOR && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == CETI && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == CETI && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == CETI && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == FRAN && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == FRAN && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == FRAN && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == DOTO && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == DOTO && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == DOTO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == ALLE && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == ALLE && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == ALLE && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == AUTR && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == AUTR && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == AUTR && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == BELG && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == BELG && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == BELG && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == DANE && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == DANE && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == DANE && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == ESPA && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == ESPA && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == ESPA && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == FINL && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == FINL && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == FINL && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == GRBR && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == GRBR && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == GRBR && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == GREC && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == GREC && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == GREC && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == HOLL && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == HOLL && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == HOLL && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == IRLA && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == IRLA && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == IRLA && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == ISLA && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == ISLA && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == ISLA && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == ITAL && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == ITAL && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == ITAL && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == NORV && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == NORV && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == NORV && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == PORT && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == PORT && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == PORT && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == SUED && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == SUED && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == SUED && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == SUIS && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == SUIS && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == SUIS && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == YOUG && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == YOUG && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == YOUG && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == MAGH && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == MAGH && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == MAGH && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == TURQ && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == TURQ && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == TURQ && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == ARGE && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == ARGE && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == ARGE && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == BRES && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == BRES && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == BRES && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == CHIL && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == CHIL && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == CHIL && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == COLO && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == COLO && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == COLO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == AFSU && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == AFSU && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == AFSU && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == MARO && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == MARO && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == MARO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == ISRA && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == ISRA && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == ISRA && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == HONG && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == HONG && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == HONG && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == TCHE && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == TCHE && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == TCHE && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == POLO && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == POLO && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == POLO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == SLVQ && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == SLVQ && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == SLVQ && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == JAPO && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == JAPO && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == JAPO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == TAIW && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == TAIW && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == TAIW && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == AUST && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == AUST && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == AUST && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == URUG && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == URUG && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == URUG && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == DAIB && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == DAIB && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == DAIB && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == DAIC && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == DAIC && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == DAIC && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == DAID && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == DAID && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == DAID && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == DAIF && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == DAIF && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == DAIF && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == EUOR && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == EUOR && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == EUOR && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == CETI && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == CETI && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == CETI && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == FRAN && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == FRAN && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == FRAN && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == DOTO && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == DOTO && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == DOTO && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == ALLE && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == ALLE && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == ALLE && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == AUTR && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == AUTR && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == AUTR && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == BELG && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == BELG && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == BELG && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == DANE && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == DANE && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == DANE && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == ESPA && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == ESPA && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == ESPA && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == FINL && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == FINL && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == FINL && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == GRBR && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == GRBR && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == GRBR && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == GREC && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == GREC && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == GREC && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == HOLL && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == HOLL && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == HOLL && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == IRLA && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == IRLA && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == IRLA && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == ISLA && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == ISLA && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == ISLA && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == ITAL && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == ITAL && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == ITAL && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == NORV && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == NORV && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == NORV && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == PORT && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == PORT && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == PORT && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == SUED && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == SUED && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == SUED && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == SUIS && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == SUIS && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == SUIS && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == YOUG && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == YOUG && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == YOUG && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == MAGH && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == MAGH && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == MAGH && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == TURQ && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == TURQ && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == TURQ && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == ARGE && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == ARGE && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == ARGE && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == BRES && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == BRES && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == BRES && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == CHIL && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == CHIL && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == CHIL && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == COLO && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == COLO && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == COLO && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == AFSU && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == AFSU && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == AFSU && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == MARO && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == MARO && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == MARO && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == ISRA && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == ISRA && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == ISRA && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == HONG && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == HONG && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == HONG && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == TCHE && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == TCHE && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == TCHE && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == POLO && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == POLO && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == POLO && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == SLVQ && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == SLVQ && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == SLVQ && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == JAPO && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == JAPO && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == JAPO && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == TAIW && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == TAIW && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == TAIW && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == AUST && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == AUST && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == AUST && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == URUG && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == URUG && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == URUG && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == DAIB && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == DAIB && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == DAIB && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == DAIC && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == DAIC && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == DAIC && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == DAID && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == DAID && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == DAID && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == DAIF && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == DAIF && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == DAIF && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == EUOR && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == EUOR && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == EUOR && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == CETI && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == CETI && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == CETI && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == FRAN && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == DOTO && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == ALLE && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == AUTR && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == BELG && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == DANE && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == ESPA && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == FINL && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == GRBR && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == GREC && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == HOLL && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == IRLA && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == ISLA && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == ITAL && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == NORV && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == PORT && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == SUED && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == SUIS && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == YOUG && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == TURQ && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == CHIL && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == AFSU && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == ISRA && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == HONG && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == TCHE && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == POLO && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == SLVQ && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == JAPO && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == TAIW && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == AUST && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == URUG && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == DAID && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == DAIF && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == EUOR && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == FRAN && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == DOTO && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == ALLE && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == AUTR && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == BELG && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == DANE && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == ESPA && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == FINL && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == GRBR && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == GREC && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == HOLL && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == IRLA && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == ISLA && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == ITAL && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == NORV && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == PORT && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == SUED && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == SUIS && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == YOUG && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == MAGH && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == TURQ && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == ARGE && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == BRES && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == CHIL && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == COLO && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == AFSU && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == MARO && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == ISRA && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == HONG && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == TCHE && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == POLO && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == SLVQ && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == JAPO && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == TAIW && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == AUST && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == URUG && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == DAIB && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == DAIC && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == DAID && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == DAIF && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == EUOR && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == CETI && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == FRAN && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == DOTO && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == ALLE && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == AUTR && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == BELG && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == DANE && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == ESPA && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == FINL && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == GRBR && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == GREC && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == HOLL && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == IRLA && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == ISLA && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == ITAL && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == NORV && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == PORT && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == SUED && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == SUIS && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == YOUG && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == TURQ && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == CHIL && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == AFSU && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == ISRA && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == HONG && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == TCHE && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == POLO && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == SLVQ && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == JAPO && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == TAIW && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == AUST && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == URUG && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == DAID && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == DAIF && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == EUOR && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == FRAN && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == DOTO && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == ALLE && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == AUTR && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == BELG && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == DANE && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == ESPA && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == FINL && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == GRBR && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == GREC && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == HOLL && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == IRLA && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == ISLA && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == ITAL && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == NORV && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == PORT && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == SUED && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == SUIS && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == YOUG && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == MAGH && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == TURQ && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == ARGE && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == BRES && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == CHIL && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == COLO && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == AFSU && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == MARO && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == ISRA && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == HONG && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == TCHE && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == POLO && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == SLVQ && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == JAPO && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == TAIW && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == AUST && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == URUG && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == DAIB && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == DAIC && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == DAID && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == DAIF && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == EUOR && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == CETI && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == FRAN && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == DOTO && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == ALLE && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == AUTR && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == BELG && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == DANE && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == ESPA && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == FINL && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == GRBR && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == GREC && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == HOLL && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == IRLA && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == ISLA && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == ITAL && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == NORV && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == PORT && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == SUED && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == SUIS && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == YOUG && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == TURQ && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == CHIL && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == AFSU && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == ISRA && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == HONG && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == TCHE && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == POLO && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == SLVQ && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == JAPO && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == TAIW && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == AUST && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == URUG && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == DAID && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == DAIF && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == EUOR && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == FRAN && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == DOTO && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == ALLE && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == AUTR && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == BELG && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == DANE && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == ESPA && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == FINL && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == GRBR && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == GREC && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == HOLL && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == IRLA && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == ISLA && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == ITAL && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == NORV && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == PORT && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == SUED && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == SUIS && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == YOUG && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == MAGH && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == TURQ && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == ARGE && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == BRES && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == CHIL && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == COLO && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == AFSU && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == MARO && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == ISRA && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == HONG && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == TCHE && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == POLO && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == SLVQ && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == JAPO && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == TAIW && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == AUST && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == URUG && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == DAIB && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == DAIC && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == DAID && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == DAIF && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) ) || ( ( var1 == E64 && var2 == E2 && var3 == MG && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == EUOR && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == CETI && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == FRAN && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == DOTO && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == ALLE && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == AUTR && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == BELG && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == DANE && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == ESPA && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == FINL && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == GRBR && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == GREC && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == HOLL && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == IRLA && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == ISLA && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == ITAL && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == NORV && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == PORT && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == SUED && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == SUIS && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == YOUG && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == TURQ && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == CHIL && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == AFSU && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == ISRA && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == HONG && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == TCHE && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == POLO && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == SLVQ && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == JAPO && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == TAIW && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == AUST && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == URUG && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == DAID && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == DAIF && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == EUOR && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == FRAN && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == DOTO && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == ALLE && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == AUTR && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == BELG && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == DANE && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == ESPA && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == FINL && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == GRBR && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == GREC && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == HOLL && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == IRLA && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == ISLA && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == ITAL && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == NORV && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == PORT && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == SUED && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == SUIS && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == YOUG && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == MAGH && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == TURQ && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == ARGE && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == BRES && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == CHIL && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == COLO && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == AFSU && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == MARO && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == ISRA && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == HONG && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == TCHE && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == POLO && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == SLVQ && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == JAPO && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == TAIW && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == AUST && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == URUG && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == DAIB && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == DAIC && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == DAID && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == DAIF && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == EUOR && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == CETI && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == FRAN && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == DOTO && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == ALLE && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == AUTR && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == BELG && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == DANE && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == ESPA && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == FINL && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == GRBR && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == GREC && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == HOLL && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == IRLA && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == ISLA && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == ITAL && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == NORV && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == PORT && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == SUED && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == SUIS && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == YOUG && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == TURQ && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == CHIL && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == AFSU && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == ISRA && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == HONG && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == TCHE && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == POLO && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == SLVQ && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == JAPO && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == TAIW && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == AUST && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == URUG && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == DAID && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == DAIF && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == EUOR && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == FRAN && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == DOTO && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == ALLE && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == AUTR && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == BELG && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == DANE && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == ESPA && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == FINL && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == GRBR && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == GREC && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == HOLL && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == IRLA && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == ISLA && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == ITAL && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == NORV && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == PORT && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == SUED && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == SUIS && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == YOUG && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == MAGH && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == TURQ && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == ARGE && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == BRES && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == CHIL && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == COLO && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == AFSU && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == MARO && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == ISRA && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == HONG && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == TCHE && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == POLO && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == SLVQ && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == JAPO && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == TAIW && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == AUST && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == URUG && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == DAIB && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == DAIC && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == DAID && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == DAIF && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == EUOR && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == CETI && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == FRAN && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == DOTO && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == ALLE && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == AUTR && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == BELG && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == DANE && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == ESPA && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == FINL && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == GRBR && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == GREC && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == HOLL && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == IRLA && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == ISLA && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == ITAL && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == NORV && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == PORT && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == SUED && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == SUIS && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == YOUG && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == TURQ && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == CHIL && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == AFSU && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == ISRA && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == HONG && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == TCHE && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == POLO && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == SLVQ && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == JAPO && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == TAIW && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == AUST && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == URUG && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == DAID && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == DAIF && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == EUOR && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == FRAN && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == DOTO && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == ALLE && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == AUTR && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == BELG && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == DANE && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == ESPA && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == FINL && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == GRBR && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == GREC && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == HOLL && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == IRLA && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == ISLA && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == ITAL && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == NORV && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == PORT && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == SUED && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == SUIS && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == YOUG && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == TURQ && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == CHIL && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == AFSU && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == ISRA && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == HONG && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == TCHE && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == POLO && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == SLVQ && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == JAPO && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == TAIW && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == AUST && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == URUG && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == DAID && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == DAIF && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == EUOR && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == FRAN && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == DOTO && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == ALLE && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == AUTR && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == BELG && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == DANE && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == ESPA && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == FINL && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == GRBR && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == GREC && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == HOLL && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == IRLA && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == ISLA && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == ITAL && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == NORV && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == PORT && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == SUED && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == SUIS && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == YOUG && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == TURQ && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == CHIL && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == AFSU && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == ISRA && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == HONG && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == TCHE && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == POLO && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == SLVQ && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == JAPO && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == TAIW && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == AUST && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == URUG && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == DAID && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == DAIF && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == EUOR && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == FRAN && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == DOTO && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == ALLE && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == AUTR && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == BELG && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == DANE && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == ESPA && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == FINL && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == GRBR && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == GREC && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == HOLL && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == IRLA && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == ISLA && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == ITAL && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == NORV && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == PORT && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == SUED && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == SUIS && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == YOUG && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == MAGH && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == TURQ && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == ARGE && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == BRES && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == CHIL && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == COLO && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == AFSU && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == MARO && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == ISRA && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == HONG && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == TCHE && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == POLO && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == SLVQ && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == JAPO && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == TAIW && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == AUST && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == URUG && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == DAIB && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == DAIC && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == DAID && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == DAIF && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == EUOR && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == CETI && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == FRAN && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == DOTO && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == ALLE && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == AUTR && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == BELG && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == DANE && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == ESPA && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == FINL && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == GRBR && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == GREC && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == HOLL && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == IRLA && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == ISLA && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == ITAL && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == NORV && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == PORT && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == SUED && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == SUIS && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == YOUG && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == TURQ && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == CHIL && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == AFSU && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == ISRA && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == HONG && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == TCHE && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == POLO && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == SLVQ && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == JAPO && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == TAIW && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == AUST && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == URUG && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == DAID && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == DAIF && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == EUOR && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == FRAN && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == DOTO && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == ALLE && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == AUTR && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == BELG && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == DANE && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == ESPA && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == FINL && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == GRBR && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == GREC && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == HOLL && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == IRLA && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == ISLA && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == ITAL && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == NORV && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == PORT && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == SUED && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == SUIS && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == YOUG && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == TURQ && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == CHIL && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == AFSU && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == ISRA && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == HONG && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == TCHE && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == POLO && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == SLVQ && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == JAPO && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == TAIW && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == AUST && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == URUG && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == DAID && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == DAIF && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == EUOR && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == FRAN && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == DOTO && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == ALLE && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == AUTR && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == BELG && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == DANE && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == ESPA && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == FINL && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == GRBR && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == GREC && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == HOLL && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == IRLA && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == ISLA && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == ITAL && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == NORV && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == PORT && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == SUED && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == SUIS && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == YOUG && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == TURQ && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == CHIL && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == AFSU && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == ISRA && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == HONG && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == TCHE && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == POLO && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == SLVQ && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == JAPO && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == TAIW && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == AUST && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == URUG && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == DAID && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == DAIF && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == EUOR && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == FRAN && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == DOTO && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == ALLE && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == AUTR && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == BELG && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == DANE && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == ESPA && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == FINL && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == GRBR && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == GREC && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == HOLL && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == IRLA && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == ISLA && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == ITAL && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == NORV && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == PORT && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == SUED && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == SUIS && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == YOUG && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == MAGH && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == TURQ && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == ARGE && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == BRES && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == CHIL && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == COLO && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == AFSU && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == MARO && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == ISRA && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == HONG && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == TCHE && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == POLO && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == SLVQ && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == JAPO && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == TAIW && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == AUST && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == URUG && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == DAIB && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == DAIC && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == DAID && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == DAIF && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == EUOR && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == CETI && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == FRAN && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == DOTO && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == ALLE && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == AUTR && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == BELG && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == DANE && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == ESPA && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == FINL && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == GRBR && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == GREC && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == HOLL && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == IRLA && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == ISLA && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == ITAL && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == NORV && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == PORT && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == SUED && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == SUIS && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == YOUG && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == TURQ && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == CHIL && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == AFSU && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == ISRA && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == HONG && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == TCHE && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == POLO && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == SLVQ && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == JAPO && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == TAIW && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == AUST && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == URUG && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == DAID && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == DAIF && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == EUOR && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == FRAN && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == DOTO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == ALLE && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == AUTR && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == BELG && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == DANE && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == ESPA && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == FINL && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == GRBR && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == GREC && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == HOLL && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == IRLA && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == ISLA && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == ITAL && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == NORV && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == PORT && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == SUED && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == SUIS && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == YOUG && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == MAGH && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == TURQ && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == ARGE && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == BRES && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == CHIL && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == COLO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == AFSU && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == MARO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == ISRA && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == HONG && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == TCHE && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == POLO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == SLVQ && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == JAPO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == TAIW && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == AUST && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == URUG && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == DAIB && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == DAIC && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == DAID && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == DAIF && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == EUOR && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == CETI && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == FRAN && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == DOTO && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == ALLE && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == AUTR && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == BELG && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == DANE && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == ESPA && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == FINL && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == GRBR && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == GREC && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == HOLL && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == IRLA && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == ISLA && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == ITAL && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == NORV && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == PORT && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == SUED && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == SUIS && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == YOUG && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == MAGH && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == TURQ && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == ARGE && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == BRES && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == CHIL && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == COLO && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == AFSU && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == MARO && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == ISRA && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == HONG && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == TCHE && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == POLO && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == SLVQ && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == JAPO && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == TAIW && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == AUST && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == URUG && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == DAIB && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == DAIC && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == DAID && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == DAIF && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == EUOR && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == CETI && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == FRAN && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == DOTO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == ALLE && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == AUTR && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == BELG && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == DANE && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == ESPA && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == FINL && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == GRBR && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == GREC && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == HOLL && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == IRLA && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == ISLA && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == ITAL && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == NORV && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == PORT && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == SUED && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == SUIS && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == YOUG && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == MAGH && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == TURQ && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == ARGE && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == BRES && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == CHIL && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == COLO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == AFSU && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == MARO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == ISRA && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == HONG && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == TCHE && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == POLO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == SLVQ && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == JAPO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == TAIW && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == AUST && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == URUG && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == DAIB && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == DAIC && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == DAID && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == DAIF && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == EUOR && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == CETI && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == FRAN && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == DOTO && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == ALLE && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == AUTR && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == BELG && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == DANE && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == ESPA && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == FINL && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == GRBR && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == GREC && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == HOLL && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == IRLA && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == ISLA && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == ITAL && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == NORV && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == PORT && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == SUED && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == SUIS && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == YOUG && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == TURQ && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) ) || ( ( var1 == J64 && var2 == E2 && var3 == MB && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == CHIL && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == AFSU && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == ISRA && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == HONG && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == TCHE && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == POLO && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == SLVQ && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == JAPO && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == TAIW && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == AUST && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == URUG && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == DAID && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == DAIF && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == EUOR && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == FRAN && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == DOTO && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == ALLE && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == AUTR && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == BELG && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == DANE && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == ESPA && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == FINL && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == GRBR && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == GREC && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == HOLL && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == IRLA && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == ISLA && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == ITAL && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == NORV && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == PORT && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == SUED && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == SUIS && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == YOUG && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == TURQ && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == CHIL && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == AFSU && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == ISRA && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == HONG && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == TCHE && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == POLO && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == SLVQ && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == JAPO && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == TAIW && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == AUST && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == URUG && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == DAID && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == DAIF && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == EUOR && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == FRAN && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == DOTO && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == ALLE && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == AUTR && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == BELG && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == DANE && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == ESPA && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == FINL && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == GRBR && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == GREC && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == HOLL && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == IRLA && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == ISLA && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == ITAL && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == NORV && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == PORT && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == SUED && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == SUIS && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == YOUG && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == MAGH && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == TURQ && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == ARGE && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == BRES && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == CHIL && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == COLO && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == AFSU && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == MARO && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == ISRA && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == HONG && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == TCHE && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == POLO && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == SLVQ && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == JAPO && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == TAIW && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == AUST && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == URUG && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == DAIB && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == DAIC && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == DAID && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == DAIF && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == EUOR && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == CETI && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == FRAN && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == DOTO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == ALLE && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == AUTR && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == BELG && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == DANE && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == ESPA && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == FINL && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == GRBR && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == GREC && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == HOLL && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == IRLA && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == ISLA && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == ITAL && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == NORV && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == PORT && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == SUED && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == SUIS && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == YOUG && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == MAGH && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == TURQ && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == ARGE && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == BRES && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == CHIL && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == COLO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == AFSU && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == MARO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == ISRA && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == HONG && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == TCHE && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == POLO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == SLVQ && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == JAPO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == TAIW && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == AUST && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == URUG && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == DAIB && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == DAIC && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == DAID && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == DAIF && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == EUOR && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == CETI && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == FRAN && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == DOTO && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == ALLE && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == AUTR && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == BELG && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == DANE && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == ESPA && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == FINL && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == GRBR && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == GREC && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == HOLL && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == IRLA && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == ISLA && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == ITAL && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == NORV && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == PORT && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == SUED && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == SUIS && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == YOUG && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == MAGH && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == TURQ && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == ARGE && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == BRES && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == CHIL && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == COLO && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == AFSU && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == MARO && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == ISRA && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == HONG && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == TCHE && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == POLO && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == SLVQ && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == JAPO && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == TAIW && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == AUST && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == URUG && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == DAIB && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == DAIC && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == DAID && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == DAIF && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == EUOR && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == CETI && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == FRAN && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == DOTO && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == ALLE && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == AUTR && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == BELG && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == DANE && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == ESPA && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == FINL && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == GRBR && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == GREC && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == HOLL && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == IRLA && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == ISLA && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == ITAL && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == NORV && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == PORT && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == SUED && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == SUIS && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == YOUG && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == TURQ && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == CHIL && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == AFSU && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == ISRA && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == HONG && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == TCHE && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == POLO && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == SLVQ && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == JAPO && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == TAIW && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == AUST && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == URUG && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == DAID && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == DAIF && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == EUOR && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == FRAN && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == DOTO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == ALLE && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == AUTR && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == BELG && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == DANE && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == ESPA && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == FINL && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == GRBR && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == GREC && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == HOLL && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == IRLA && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == ISLA && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == ITAL && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == NORV && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == PORT && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == SUED && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == SUIS && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == YOUG && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == MAGH && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == TURQ && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == ARGE && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == BRES && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == CHIL && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == COLO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == AFSU && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == MARO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == ISRA && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == HONG && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == TCHE && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == POLO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == SLVQ && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == JAPO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == TAIW && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == AUST && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == URUG && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == DAIB && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == DAIC && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == DAID && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == DAIF && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == EUOR && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == CETI && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == FRAN && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == DOTO && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == ALLE && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == AUTR && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == BELG && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == DANE && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == ESPA && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == FINL && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == GRBR && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == GREC && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == HOLL && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == IRLA && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == ISLA && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == ITAL && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == NORV && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == PORT && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == SUED && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == SUIS && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == YOUG && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == MAGH && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == TURQ && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == ARGE && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == BRES && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == CHIL && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == COLO && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == AFSU && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == MARO && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == ISRA && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == HONG && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == TCHE && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == POLO && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == SLVQ && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == JAPO && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == TAIW && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == AUST && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == URUG && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == DAIB && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == DAIC && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == DAID && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == DAIF && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == EUOR && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == CETI && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == FRAN && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == DOTO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == ALLE && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == AUTR && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == BELG && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == DANE && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == ESPA && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == FINL && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == GRBR && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == GREC && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == HOLL && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == IRLA && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == ISLA && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == ITAL && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == NORV && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == PORT && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == SUED && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == SUIS && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == YOUG && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == MAGH && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == TURQ && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == ARGE && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == BRES && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == CHIL && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == COLO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == AFSU && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == MARO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == ISRA && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == HONG && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == TCHE && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == POLO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == SLVQ && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == JAPO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == TAIW && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == AUST && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == URUG && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == DAIB && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == DAIC && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == DAID && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == DAIF && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == EUOR && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == CETI && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == FRAN && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == DOTO && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == ALLE && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == AUTR && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == BELG && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == DANE && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == ESPA && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == FINL && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == GRBR && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == GREC && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == HOLL && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == IRLA && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == ISLA && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == ITAL && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == NORV && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == PORT && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == SUED && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == SUIS && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == YOUG && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == TURQ && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == CHIL && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == AFSU && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == ISRA && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == HONG && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == TCHE && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == POLO && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == SLVQ && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == JAPO && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == TAIW && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == AUST && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == URUG && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == DAID && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == DAIF && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == EUOR && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == FRAN && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == DOTO && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == ALLE && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == AUTR && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == BELG && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == DANE && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == ESPA && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == FINL && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == GRBR && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == GREC && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == HOLL && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == IRLA && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == ISLA && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == ITAL && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == NORV && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == PORT && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == SUED && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == SUIS && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == YOUG && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == TURQ && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == CHIL && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == AFSU && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == ISRA && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == HONG && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == TCHE && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == POLO && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == SLVQ && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == JAPO && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == TAIW && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == AUST && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == URUG && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == DAID && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == DAIF && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == EUOR && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == FRAN && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == DOTO && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == ALLE && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == AUTR && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == BELG && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == DANE && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == ESPA && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == FINL && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == GRBR && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == GREC && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == HOLL && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == IRLA && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == ISLA && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == ITAL && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == NORV && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == PORT && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == SUED && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == SUIS && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == YOUG && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == MAGH && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == TURQ && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == ARGE && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == BRES && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == CHIL && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == COLO && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == AFSU && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == MARO && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == ISRA && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == HONG && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == TCHE && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == POLO && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == SLVQ && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == JAPO && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == TAIW && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == AUST && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == URUG && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == DAIB && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == DAIC && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == DAID && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == DAIF && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == EUOR && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == CETI && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == FRAN && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == DOTO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == ALLE && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == AUTR && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == BELG && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == DANE && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == ESPA && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == FINL && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == GRBR && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == GREC && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == HOLL && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == IRLA && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == ISLA && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == ITAL && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == NORV && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == PORT && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == SUED && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == SUIS && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == YOUG && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == MAGH && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == TURQ && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == ARGE && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == BRES && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == CHIL && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == COLO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == AFSU && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == MARO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == ISRA && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == HONG && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == TCHE && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == POLO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == SLVQ && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == JAPO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == TAIW && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == AUST && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == URUG && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == DAIB && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == DAIC && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == DAID && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == DAIF && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == EUOR && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == CETI && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == FRAN && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == DOTO && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == ALLE && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == AUTR && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == BELG && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == DANE && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == ESPA && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == FINL && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == GRBR && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == GREC && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == HOLL && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == IRLA && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == ISLA && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == ITAL && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == NORV && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == PORT && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == SUED && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == SUIS && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == YOUG && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == MAGH && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == TURQ && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == ARGE && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == BRES && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == CHIL && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == COLO && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == AFSU && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == MARO && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == ISRA && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == HONG && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == TCHE && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == POLO && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == SLVQ && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == JAPO && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == TAIW && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == AUST && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == URUG && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == DAIB && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == DAIC && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == DAID && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == DAIF && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == EUOR && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == CETI && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == FRAN && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == FRAN && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == FRAN && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == DOTO && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == DOTO && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == DOTO && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == ALLE && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == ALLE && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == ALLE && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == AUTR && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == AUTR && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == AUTR && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == BELG && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == BELG && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == BELG && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == DANE && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == DANE && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == DANE && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == ESPA && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == ESPA && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == ESPA && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == FINL && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == FINL && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == FINL && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == GRBR && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == GRBR && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == GRBR && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == GREC && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == GREC && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == GREC && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == HOLL && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == HOLL && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == HOLL && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == IRLA && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == IRLA && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == IRLA && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == ISLA && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == ISLA && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == ISLA && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == ITAL && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == ITAL && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == ITAL && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == NORV && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == NORV && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == NORV && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == PORT && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == PORT && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == PORT && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == SUED && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == SUED && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == SUED && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == SUIS && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == SUIS && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == SUIS && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == YOUG && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == YOUG && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == YOUG && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == CHIL && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == CHIL && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == CHIL && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == AFSU && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == AFSU && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == AFSU && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == ISRA && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == ISRA && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == ISRA && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == HONG && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == HONG && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == HONG && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == TCHE && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == TCHE && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == TCHE && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == POLO && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == POLO && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == POLO && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == SLVQ && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == SLVQ && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == SLVQ && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == JAPO && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == JAPO && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == JAPO && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == TAIW && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == TAIW && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == TAIW && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == AUST && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == AUST && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == AUST && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == URUG && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == URUG && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == URUG && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == DAID && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == DAID && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == DAID && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == DAIF && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == DAIF && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == DAIF && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == EUOR && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == EUOR && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == EUOR && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == FRAN && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == FRAN && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == FRAN && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == DOTO && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == DOTO && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == DOTO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == ALLE && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == ALLE && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == ALLE && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == AUTR && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == AUTR && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == AUTR && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == BELG && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == BELG && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == BELG && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == DANE && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == DANE && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == DANE && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == ESPA && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == ESPA && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == ESPA && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == FINL && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == FINL && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == FINL && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == GRBR && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == GRBR && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == GRBR && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == GREC && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == GREC && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == GREC && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == HOLL && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == HOLL && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == HOLL && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == IRLA && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == IRLA && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == IRLA && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == ISLA && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == ISLA && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == ISLA && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == ITAL && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == ITAL && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == ITAL && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == NORV && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == NORV && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == NORV && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == PORT && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == PORT && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == PORT && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == SUED && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == SUED && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == SUED && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == SUIS && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == SUIS && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == SUIS && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == YOUG && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == YOUG && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == YOUG && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == MAGH && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == MAGH && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == MAGH && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == TURQ && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == TURQ && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == TURQ && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == ARGE && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == ARGE && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == ARGE && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == BRES && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == BRES && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == BRES && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == CHIL && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == CHIL && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == CHIL && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == COLO && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == COLO && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == COLO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == AFSU && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == AFSU && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == AFSU && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == MARO && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == MARO && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == MARO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == ISRA && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == ISRA && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == ISRA && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == HONG && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == HONG && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == HONG && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == TCHE && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == TCHE && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == TCHE && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == POLO && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == POLO && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == POLO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == SLVQ && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == SLVQ && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == SLVQ && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == JAPO && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == JAPO && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == JAPO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == TAIW && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == TAIW && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == TAIW && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == AUST && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == AUST && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == AUST && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == URUG && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == URUG && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == URUG && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == DAIB && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == DAIB && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == DAIB && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == DAIC && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == DAIC && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == DAIC && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == DAID && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == DAID && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == DAID && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == DAIF && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == DAIF && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == DAIF && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == EUOR && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == EUOR && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == EUOR && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == CETI && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == CETI && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == CETI && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == FRAN && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == FRAN && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == FRAN && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == DOTO && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == DOTO && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == DOTO && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == ALLE && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == ALLE && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == ALLE && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == AUTR && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == AUTR && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == AUTR && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == BELG && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == BELG && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == BELG && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == DANE && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == DANE && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == DANE && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == ESPA && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == ESPA && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == ESPA && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == FINL && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == FINL && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == FINL && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == GRBR && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == GRBR && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == GRBR && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == GREC && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == GREC && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == GREC && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == HOLL && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == HOLL && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == HOLL && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == IRLA && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == IRLA && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == IRLA && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == ISLA && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == ISLA && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == ISLA && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == ITAL && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == ITAL && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == ITAL && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == NORV && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == NORV && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == NORV && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == PORT && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == PORT && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == PORT && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == SUED && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == SUED && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == SUED && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == SUIS && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == SUIS && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == SUIS && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == YOUG && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == YOUG && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == YOUG && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == MAGH && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == MAGH && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == MAGH && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == TURQ && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == TURQ && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == TURQ && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == ARGE && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == ARGE && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == ARGE && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == BRES && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == BRES && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == BRES && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == CHIL && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == CHIL && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == CHIL && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == COLO && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == COLO && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == COLO && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == AFSU && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == AFSU && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == AFSU && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == MARO && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == MARO && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == MARO && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == ISRA && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == ISRA && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == ISRA && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == HONG && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == HONG && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == HONG && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == TCHE && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == TCHE && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == TCHE && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == POLO && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == POLO && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == POLO && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == SLVQ && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == SLVQ && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == SLVQ && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == JAPO && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == JAPO && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == JAPO && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == TAIW && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == TAIW && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == TAIW && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == AUST && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == AUST && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == AUST && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == URUG && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == URUG && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == URUG && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == DAIB && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == DAIB && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == DAIB && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == DAIC && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == DAIC && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == DAIC && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == DAID && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == DAID && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == DAID && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == DAIF && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == DAIF && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == DAIF && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == EUOR && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == EUOR && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == EUOR && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == CETI && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == CETI && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == CETI && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == FRAN && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == FRAN && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == FRAN && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == DOTO && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == DOTO && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == DOTO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == ALLE && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == ALLE && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == ALLE && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == AUTR && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == AUTR && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == AUTR && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == BELG && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == BELG && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == BELG && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == DANE && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == DANE && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == DANE && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == ESPA && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == ESPA && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == ESPA && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == FINL && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == FINL && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == FINL && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == GRBR && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == GRBR && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == GRBR && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == GREC && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == GREC && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == GREC && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == HOLL && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == HOLL && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == HOLL && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == IRLA && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == IRLA && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == IRLA && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == ISLA && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == ISLA && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == ISLA && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == ITAL && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == ITAL && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == ITAL && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == NORV && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == NORV && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == NORV && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == PORT && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == PORT && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == PORT && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == SUED && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == SUED && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == SUED && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == SUIS && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == SUIS && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == SUIS && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == YOUG && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == YOUG && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == YOUG && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == MAGH && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == MAGH && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == MAGH && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == TURQ && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == TURQ && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == TURQ && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == ARGE && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == ARGE && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == ARGE && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == BRES && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == BRES && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == BRES && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == CHIL && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == CHIL && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == CHIL && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == COLO && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == COLO && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == COLO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == AFSU && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == AFSU && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == AFSU && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == MARO && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == MARO && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == MARO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == ISRA && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == ISRA && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == ISRA && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == HONG && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == HONG && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == HONG && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == TCHE && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == TCHE && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == TCHE && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == POLO && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == POLO && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == POLO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == SLVQ && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == SLVQ && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == SLVQ && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == JAPO && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == JAPO && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == JAPO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == TAIW && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == TAIW && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == TAIW && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == AUST && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == AUST && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == AUST && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == URUG && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == URUG && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == URUG && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == DAIB && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == DAIB && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == DAIB && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == DAIC && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == DAIC && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == DAIC && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == DAID && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == DAID && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == DAID && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == DAIF && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == DAIF && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == DAIF && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == EUOR && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == EUOR && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == EUOR && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == CETI && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == CETI && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == CETI && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == FRAN && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == FRAN && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == FRAN && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == DOTO && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == DOTO && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == DOTO && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == ALLE && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == ALLE && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == ALLE && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == AUTR && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == AUTR && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == AUTR && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == BELG && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == BELG && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == BELG && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == DANE && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == DANE && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == DANE && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == ESPA && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == ESPA && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == ESPA && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == FINL && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == FINL && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == FINL && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == GRBR && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == GRBR && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == GRBR && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == GREC && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == GREC && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == GREC && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == HOLL && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == HOLL && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == HOLL && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == IRLA && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == IRLA && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == IRLA && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == ISLA && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == ISLA && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == ISLA && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == ITAL && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == ITAL && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == ITAL && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) ) || ( ( var1 == B64 && var2 == E1 && var3 == MB && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == NORV && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == NORV && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == NORV && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == PORT && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == PORT && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == PORT && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == SUED && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == SUED && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == SUED && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == SUIS && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == SUIS && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == SUIS && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == YOUG && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == YOUG && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == YOUG && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == CHIL && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == CHIL && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == CHIL && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == AFSU && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == AFSU && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == AFSU && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == ISRA && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == ISRA && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == ISRA && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == HONG && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == HONG && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == HONG && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == TCHE && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == TCHE && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == TCHE && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == POLO && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == POLO && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == POLO && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == SLVQ && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == SLVQ && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == SLVQ && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == JAPO && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == JAPO && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == JAPO && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == TAIW && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == TAIW && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == TAIW && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == AUST && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == AUST && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == AUST && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == URUG && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == URUG && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == URUG && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == DAID && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == DAID && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == DAID && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == DAIF && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == DAIF && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == DAIF && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == EUOR && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == EUOR && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == EUOR && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == FRAN && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == FRAN && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == FRAN && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == DOTO && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == DOTO && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == DOTO && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == ALLE && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == ALLE && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == ALLE && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == AUTR && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == AUTR && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == AUTR && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == BELG && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == BELG && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == BELG && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == DANE && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == DANE && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == DANE && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == ESPA && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == ESPA && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == ESPA && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == FINL && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == FINL && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == FINL && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == GRBR && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == GRBR && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == GRBR && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == GREC && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == GREC && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == GREC && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == HOLL && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == HOLL && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == HOLL && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == IRLA && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == IRLA && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == IRLA && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == ISLA && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == ISLA && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == ISLA && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == ITAL && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == ITAL && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == ITAL && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == NORV && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == NORV && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == NORV && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == PORT && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == PORT && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == PORT && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == SUED && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == SUED && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == SUED && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == SUIS && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == SUIS && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == SUIS && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == YOUG && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == YOUG && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == YOUG && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == MAGH && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == MAGH && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == MAGH && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == TURQ && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == TURQ && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == TURQ && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == ARGE && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == ARGE && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == ARGE && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == BRES && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == BRES && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == BRES && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == CHIL && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == CHIL && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == CHIL && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == COLO && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == COLO && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == COLO && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == AFSU && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == AFSU && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == AFSU && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == MARO && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == MARO && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == MARO && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == ISRA && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == ISRA && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == ISRA && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == HONG && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == HONG && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == HONG && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == TCHE && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == TCHE && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == TCHE && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == POLO && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == POLO && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == POLO && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == SLVQ && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == SLVQ && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == SLVQ && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == JAPO && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == JAPO && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == JAPO && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == TAIW && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == TAIW && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == TAIW && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == AUST && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == AUST && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == AUST && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == URUG && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == URUG && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == URUG && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == DAIB && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == DAIB && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == DAIB && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == DAIC && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == DAIC && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == DAIC && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == DAID && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == DAID && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == DAID && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == DAIF && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == DAIF && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == DAIF && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == EUOR && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == EUOR && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == EUOR && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == CETI && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == CETI && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == CETI && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == FRAN && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == FRAN && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == FRAN && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == DOTO && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == DOTO && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == DOTO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == ALLE && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == ALLE && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == ALLE && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == AUTR && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == AUTR && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == AUTR && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == BELG && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == BELG && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == BELG && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == DANE && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == DANE && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == DANE && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == ESPA && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == ESPA && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == ESPA && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == FINL && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == FINL && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == FINL && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == GRBR && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == GRBR && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == GRBR && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == GREC && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == GREC && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == GREC && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == HOLL && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == HOLL && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == HOLL && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == IRLA && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == IRLA && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == IRLA && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == ISLA && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == ISLA && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == ISLA && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == ITAL && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == ITAL && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == ITAL && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == NORV && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == NORV && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == NORV && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == PORT && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == PORT && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == PORT && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == SUED && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == SUED && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == SUED && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == SUIS && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == SUIS && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == SUIS && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == YOUG && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == YOUG && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == YOUG && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == MAGH && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == MAGH && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == MAGH && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == TURQ && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == TURQ && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == TURQ && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == ARGE && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == ARGE && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == ARGE && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == BRES && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == BRES && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == BRES && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == CHIL && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == CHIL && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == CHIL && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == COLO && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == COLO && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == COLO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == AFSU && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == AFSU && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == AFSU && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == MARO && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == MARO && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == MARO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == ISRA && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == ISRA && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == ISRA && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == HONG && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == HONG && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == HONG && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == TCHE && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == TCHE && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == TCHE && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == POLO && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == POLO && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == POLO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == SLVQ && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == SLVQ && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == SLVQ && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == JAPO && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == JAPO && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == JAPO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == TAIW && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == TAIW && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == TAIW && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == AUST && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == AUST && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == AUST && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == URUG && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == URUG && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == URUG && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == DAIB && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == DAIB && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == DAIB && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == DAIC && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == DAIC && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == DAIC && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == DAID && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == DAID && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == DAID && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == DAIF && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == DAIF && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == DAIF && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == EUOR && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == EUOR && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == EUOR && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == CETI && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == CETI && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == CETI && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == FRAN && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == FRAN && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == FRAN && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == DOTO && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == DOTO && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == DOTO && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == ALLE && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == ALLE && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == ALLE && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == AUTR && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == AUTR && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == AUTR && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == BELG && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == BELG && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == BELG && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == DANE && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == DANE && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == DANE && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == ESPA && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == ESPA && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == ESPA && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == FINL && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == FINL && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == FINL && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == GRBR && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == GRBR && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == GRBR && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == GREC && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == GREC && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == GREC && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == HOLL && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == HOLL && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == HOLL && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == IRLA && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == IRLA && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == IRLA && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == ISLA && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == ISLA && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == ISLA && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == ITAL && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == ITAL && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == ITAL && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == NORV && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == NORV && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == NORV && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == PORT && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == PORT && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == PORT && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == SUED && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == SUED && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == SUED && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == SUIS && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == SUIS && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == SUIS && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == YOUG && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == YOUG && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == YOUG && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == MAGH && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == MAGH && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == MAGH && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == TURQ && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == TURQ && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == TURQ && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == ARGE && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == ARGE && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == ARGE && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == BRES && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == BRES && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == BRES && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == CHIL && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == CHIL && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == CHIL && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == COLO && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == COLO && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == COLO && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == AFSU && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == AFSU && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == AFSU && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == MARO && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == MARO && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == MARO && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == ISRA && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == ISRA && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == ISRA && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == HONG && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == HONG && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == HONG && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == TCHE && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == TCHE && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == TCHE && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == POLO && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == POLO && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == POLO && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == SLVQ && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == SLVQ && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == SLVQ && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == JAPO && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == JAPO && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == JAPO && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == TAIW && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == TAIW && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == TAIW && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == AUST && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == AUST && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == AUST && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == URUG && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == URUG && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == URUG && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == DAIB && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == DAIB && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == DAIB && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == DAIC && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == DAIC && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == DAIC && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == DAID && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == DAID && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == DAID && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == DAIF && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == DAIF && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == DAIF && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == EUOR && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == EUOR && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == EUOR && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == CETI && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == CETI && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == CETI && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == FRAN && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == FRAN && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == FRAN && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == DOTO && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == DOTO && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == DOTO && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == ALLE && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == ALLE && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == ALLE && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == AUTR && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == AUTR && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == AUTR && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == BELG && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == BELG && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == BELG && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == DANE && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == DANE && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == DANE && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == ESPA && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == ESPA && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == ESPA && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == FINL && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == FINL && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == FINL && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == GRBR && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == GRBR && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == GRBR && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == GREC && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == GREC && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == GREC && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == HOLL && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == HOLL && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == HOLL && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == IRLA && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == IRLA && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == IRLA && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == ISLA && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == ISLA && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == ISLA && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == ITAL && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == ITAL && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == ITAL && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == NORV && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == NORV && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == NORV && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == PORT && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == PORT && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == PORT && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == SUED && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == SUED && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == SUED && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == SUIS && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == SUIS && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == SUIS && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == YOUG && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == YOUG && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == YOUG && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == CHIL && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == CHIL && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == CHIL && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == AFSU && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == AFSU && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == AFSU && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == ISRA && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == ISRA && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == ISRA && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == HONG && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == HONG && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == HONG && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == TCHE && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == TCHE && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == TCHE && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == POLO && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == POLO && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == POLO && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == SLVQ && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == SLVQ && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == SLVQ && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == JAPO && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == JAPO && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == JAPO && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == TAIW && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == TAIW && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == TAIW && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == AUST && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == AUST && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == AUST && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == URUG && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == URUG && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == URUG && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == DAID && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == DAID && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == DAID && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == DAIF && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == DAIF && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == DAIF && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == EUOR && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == EUOR && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == EUOR && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == FRAN && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == FRAN && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == FRAN && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == DOTO && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == DOTO && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == DOTO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == ALLE && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == ALLE && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == ALLE && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == AUTR && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == AUTR && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == AUTR && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == BELG && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == BELG && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == BELG && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == DANE && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == DANE && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == DANE && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == ESPA && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == ESPA && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == ESPA && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == FINL && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == FINL && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == FINL && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == GRBR && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == GRBR && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == GRBR && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == GREC && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == GREC && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == GREC && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == HOLL && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == HOLL && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == HOLL && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == IRLA && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == IRLA && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == IRLA && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == ISLA && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == ISLA && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == ISLA && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == ITAL && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == ITAL && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == ITAL && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == NORV && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == NORV && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == NORV && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == PORT && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == PORT && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == PORT && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == SUED && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == SUED && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == SUED && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == SUIS && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == SUIS && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == SUIS && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == YOUG && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == YOUG && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == YOUG && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == MAGH && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == MAGH && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == MAGH && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == TURQ && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == TURQ && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == TURQ && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == ARGE && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == ARGE && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == ARGE && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == BRES && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == BRES && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == BRES && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == CHIL && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == CHIL && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == CHIL && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == COLO && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == COLO && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == COLO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == AFSU && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == AFSU && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == AFSU && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == MARO && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == MARO && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == MARO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == ISRA && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == ISRA && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == ISRA && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == HONG && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == HONG && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == HONG && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == TCHE && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == TCHE && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == TCHE && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == POLO && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == POLO && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == POLO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == SLVQ && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == SLVQ && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == SLVQ && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == JAPO && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == JAPO && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == JAPO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == TAIW && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == TAIW && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == TAIW && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == AUST && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == AUST && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == AUST && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == URUG && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == URUG && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == URUG && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == DAIB && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == DAIB && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == DAIB && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == DAIC && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == DAIC && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == DAIC && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == DAID && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == DAID && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == DAID && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == DAIF && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == DAIF && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == DAIF && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == EUOR && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == EUOR && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == EUOR && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == CETI && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == CETI && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == CETI && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == FRAN && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == FRAN && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == FRAN && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == DOTO && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == DOTO && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == DOTO && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == ALLE && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == ALLE && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == ALLE && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == AUTR && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == AUTR && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == AUTR && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == BELG && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == BELG && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == BELG && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == DANE && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == DANE && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == DANE && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == ESPA && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == ESPA && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == ESPA && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == FINL && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == FINL && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == FINL && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == GRBR && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == GRBR && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == GRBR && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == GREC && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == GREC && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == GREC && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == HOLL && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == HOLL && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == HOLL && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == IRLA && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == IRLA && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == IRLA && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == ISLA && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == ISLA && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == ISLA && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == ITAL && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == ITAL && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == ITAL && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == NORV && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == NORV && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == NORV && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == PORT && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == PORT && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == PORT && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == SUED && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == SUED && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == SUED && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == SUIS && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == SUIS && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == SUIS && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == YOUG && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == YOUG && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == YOUG && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == MAGH && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == MAGH && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == MAGH && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == TURQ && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == TURQ && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == TURQ && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == ARGE && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == ARGE && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == ARGE && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == BRES && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == BRES && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == BRES && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == CHIL && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == CHIL && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == CHIL && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == COLO && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == COLO && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == COLO && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == AFSU && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == AFSU && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == AFSU && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == MARO && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == MARO && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == MARO && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == ISRA && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == ISRA && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == ISRA && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == HONG && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == HONG && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == HONG && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == TCHE && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == TCHE && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == TCHE && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == POLO && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == POLO && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == POLO && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == SLVQ && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == SLVQ && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == SLVQ && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == JAPO && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == JAPO && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == JAPO && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == TAIW && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == TAIW && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == TAIW && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == AUST && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == AUST && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == AUST && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == URUG && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == URUG && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == URUG && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == DAIB && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == DAIB && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == DAIB && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == DAIC && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == DAIC && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == DAIC && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) ) || ( ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == DAID && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == DAID && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == DAID && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == DAIF && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == DAIF && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == DAIF && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == EUOR && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == EUOR && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == EUOR && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == CETI && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == CETI && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == CETI && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == FRAN && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == FRAN && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == FRAN && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == DOTO && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == DOTO && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == DOTO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == ALLE && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == ALLE && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == ALLE && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == AUTR && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == AUTR && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == AUTR && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == BELG && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == BELG && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == BELG && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == DANE && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == DANE && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == DANE && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == ESPA && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == ESPA && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == ESPA && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == FINL && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == FINL && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == FINL && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == GRBR && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == GRBR && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == GRBR && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == GREC && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == GREC && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == GREC && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == HOLL && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == HOLL && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == HOLL && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == IRLA && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == IRLA && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == IRLA && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == ISLA && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == ISLA && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == ISLA && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == ITAL && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == ITAL && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == ITAL && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == NORV && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == NORV && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == NORV && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == PORT && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == PORT && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == PORT && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == SUED && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == SUED && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == SUED && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == SUIS && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == SUIS && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == SUIS && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == YOUG && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == YOUG && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == YOUG && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == MAGH && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == MAGH && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == MAGH && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == TURQ && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == TURQ && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == TURQ && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == ARGE && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == ARGE && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == ARGE && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == BRES && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == BRES && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == BRES && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == CHIL && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == CHIL && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == CHIL && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == COLO && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == COLO && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == COLO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == AFSU && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == AFSU && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == AFSU && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == MARO && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == MARO && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == MARO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == ISRA && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == ISRA && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == ISRA && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == HONG && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == HONG && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == HONG && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == TCHE && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == TCHE && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == TCHE && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == POLO && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == POLO && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == POLO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == SLVQ && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == SLVQ && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == SLVQ && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == JAPO && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == JAPO && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == JAPO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == TAIW && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == TAIW && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == TAIW && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == AUST && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == AUST && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == AUST && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == URUG && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == URUG && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == URUG && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == DAIB && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == DAIB && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == DAIB && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == DAIC && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == DAIC && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == DAIC && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == DAID && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == DAID && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == DAID && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == DAIF && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == DAIF && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == DAIF && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == EUOR && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == EUOR && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == EUOR && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == CETI && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == CETI && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == CETI && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == FRAN && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == FRAN && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == FRAN && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == DOTO && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == DOTO && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == DOTO && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == ALLE && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == ALLE && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == ALLE && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == AUTR && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == AUTR && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == AUTR && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == BELG && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == BELG && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == BELG && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == DANE && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == DANE && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == DANE && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == ESPA && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == ESPA && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == ESPA && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == FINL && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == FINL && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == FINL && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == GRBR && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == GRBR && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == GRBR && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == GREC && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == GREC && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == GREC && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == HOLL && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == HOLL && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == HOLL && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == IRLA && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == IRLA && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == IRLA && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == ISLA && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == ISLA && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == ISLA && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == ITAL && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == ITAL && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == ITAL && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == NORV && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == NORV && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == NORV && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == PORT && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == PORT && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == PORT && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == SUED && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == SUED && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == SUED && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == SUIS && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == SUIS && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == SUIS && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == YOUG && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == YOUG && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == YOUG && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == CHIL && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == CHIL && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == CHIL && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == AFSU && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == AFSU && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == AFSU && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == ISRA && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == ISRA && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == ISRA && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == HONG && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == HONG && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == HONG && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == TCHE && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == TCHE && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == TCHE && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == POLO && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == POLO && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == POLO && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == SLVQ && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == SLVQ && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == SLVQ && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == JAPO && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == JAPO && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == JAPO && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == TAIW && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == TAIW && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == TAIW && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == AUST && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == AUST && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == AUST && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == URUG && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == URUG && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == URUG && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == DAID && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == DAID && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == DAID && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == DAIF && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == DAIF && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == DAIF && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == EUOR && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == EUOR && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == EUOR && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == FRAN && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == FRAN && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == DOTO && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == DOTO && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == ALLE && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == ALLE && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == AUTR && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == AUTR && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == BELG && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == BELG && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == DANE && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == DANE && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == ESPA && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == ESPA && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == FINL && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == FINL && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == GRBR && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == GRBR && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == GREC && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == GREC && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == HOLL && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == HOLL && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == IRLA && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == IRLA && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == ISLA && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == ISLA && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == ITAL && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == ITAL && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == NORV && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == NORV && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == PORT && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == PORT && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == SUED && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == SUED && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == SUIS && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == SUIS && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == YOUG && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == YOUG && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == CHIL && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == CHIL && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == AFSU && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == AFSU && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == ISRA && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == ISRA && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == HONG && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == HONG && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == TCHE && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == TCHE && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == POLO && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == POLO && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == SLVQ && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == SLVQ && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == JAPO && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == JAPO && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == TAIW && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == TAIW && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == AUST && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == AUST && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == URUG && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == URUG && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == DAID && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == DAID && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == DAIF && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == DAIF && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == EUOR && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == EUOR && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == FRAN && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == FRAN && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == FRAN && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == DOTO && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == DOTO && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == DOTO && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == ALLE && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == ALLE && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == ALLE && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == AUTR && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == AUTR && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == AUTR && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == BELG && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == BELG && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == BELG && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == DANE && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == DANE && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == DANE && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == ESPA && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == ESPA && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == ESPA && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == FINL && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == FINL && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == FINL && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == GRBR && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == GRBR && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == GRBR && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == GREC && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == GREC && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == GREC && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == HOLL && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == HOLL && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == HOLL && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == IRLA && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == IRLA && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == IRLA && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == ISLA && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == ISLA && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == ISLA && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == ITAL && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == ITAL && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == ITAL && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == NORV && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == NORV && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == NORV && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == PORT && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == PORT && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == PORT && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == SUED && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == SUED && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == SUED && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == SUIS && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == SUIS && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == SUIS && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == YOUG && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == YOUG && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == YOUG && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == MAGH && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == MAGH && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == MAGH && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == TURQ && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == TURQ && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == TURQ && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == ARGE && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == ARGE && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == ARGE && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == BRES && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == BRES && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == BRES && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == CHIL && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == CHIL && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == CHIL && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == COLO && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == COLO && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == COLO && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == AFSU && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == AFSU && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == AFSU && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == MARO && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == MARO && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == MARO && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == ISRA && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == ISRA && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == ISRA && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == HONG && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == HONG && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == HONG && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == TCHE && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == TCHE && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == TCHE && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == POLO && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == POLO && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == POLO && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == SLVQ && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == SLVQ && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == SLVQ && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == JAPO && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == JAPO && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == JAPO && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == TAIW && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == TAIW && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == TAIW && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == AUST && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == AUST && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == AUST && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == URUG && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == URUG && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == URUG && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == DAIB && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == DAIB && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == DAIB && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == DAIC && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == DAIC && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == DAIC && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == DAID && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == DAID && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == DAID && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == DAIF && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == DAIF && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == DAIF && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == EUOR && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == EUOR && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == EUOR && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == CETI && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == CETI && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == CETI && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == FRAN && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == FRAN && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == FRAN && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == DOTO && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == DOTO && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == DOTO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == ALLE && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == ALLE && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == ALLE && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == AUTR && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == AUTR && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == AUTR && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == BELG && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == BELG && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == BELG && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == DANE && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == DANE && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == DANE && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == ESPA && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == ESPA && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == ESPA && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == FINL && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == FINL && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == FINL && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == GRBR && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == GRBR && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == GRBR && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == GREC && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == GREC && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == GREC && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == HOLL && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == HOLL && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == HOLL && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == IRLA && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == IRLA && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == IRLA && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == ISLA && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == ISLA && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == ISLA && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == ITAL && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == ITAL && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == ITAL && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == NORV && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == NORV && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == NORV && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == PORT && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == PORT && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == PORT && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == SUED && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == SUED && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == SUED && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == SUIS && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == SUIS && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == SUIS && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == YOUG && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == YOUG && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == YOUG && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == MAGH && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == MAGH && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == MAGH && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == TURQ && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == TURQ && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == TURQ && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == ARGE && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == ARGE && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == ARGE && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == BRES && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == BRES && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == BRES && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == CHIL && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == CHIL && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == CHIL && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == COLO && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == COLO && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == COLO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == AFSU && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == AFSU && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == AFSU && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == MARO && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == MARO && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == MARO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == ISRA && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == ISRA && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == ISRA && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == HONG && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == HONG && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == HONG && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == TCHE && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == TCHE && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == TCHE && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == POLO && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == POLO && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == POLO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == SLVQ && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == SLVQ && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == SLVQ && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == JAPO && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == JAPO && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == JAPO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == TAIW && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == TAIW && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == TAIW && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == AUST && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == AUST && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == AUST && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == URUG && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == URUG && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == URUG && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == DAIB && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == DAIB && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == DAIB && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == DAIC && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == DAIC && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == DAIC && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == DAID && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == DAID && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == DAID && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == DAIF && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == DAIF && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == DAIF && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == EUOR && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == EUOR && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == EUOR && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == CETI && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == CETI && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == CETI && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == FRAN && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == FRAN && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == FRAN && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == DOTO && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == DOTO && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == DOTO && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == ALLE && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == ALLE && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == ALLE && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == AUTR && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == AUTR && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == AUTR && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == BELG && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == BELG && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == BELG && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == DANE && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == DANE && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == DANE && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == ESPA && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == ESPA && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == ESPA && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == FINL && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == FINL && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == FINL && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == GRBR && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == GRBR && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == GRBR && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == GREC && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == GREC && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == GREC && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == HOLL && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == HOLL && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == HOLL && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == IRLA && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == IRLA && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == IRLA && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == ISLA && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == ISLA && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == ISLA && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == ITAL && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == ITAL && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == ITAL && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == NORV && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == NORV && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == NORV && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == PORT && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == PORT && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == PORT && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == SUED && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == SUED && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == SUED && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == SUIS && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == SUIS && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == SUIS && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == YOUG && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == YOUG && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == YOUG && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == MAGH && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == MAGH && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == MAGH && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == TURQ && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == TURQ && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == TURQ && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == ARGE && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == ARGE && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == ARGE && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == BRES && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == BRES && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == BRES && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == CHIL && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == CHIL && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == CHIL && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == COLO && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == COLO && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == COLO && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == AFSU && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == AFSU && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == AFSU && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == MARO && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == MARO && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == MARO && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == ISRA && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == ISRA && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == ISRA && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == HONG && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == HONG && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == HONG && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == TCHE && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == TCHE && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == TCHE && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == POLO && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == POLO && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == POLO && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == SLVQ && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == SLVQ && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == SLVQ && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == JAPO && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == JAPO && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == JAPO && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == TAIW && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == TAIW && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == TAIW && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == AUST && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == AUST && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == AUST && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == URUG && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == URUG && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == URUG && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == DAIB && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == DAIB && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == DAIB && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == DAIC && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == DAIC && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == DAIC && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == DAID && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == DAID && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == DAID && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == DAIF && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == DAIF && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == DAIF && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == EUOR && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == EUOR && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == EUOR && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == CETI && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == CETI && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == CETI && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == AZE ) ) || ( ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == FRAN && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == FRAN && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == FRAN && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == DOTO && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == DOTO && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == DOTO && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == ALLE && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == ALLE && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == ALLE && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == AUTR && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == AUTR && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == AUTR && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == BELG && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == BELG && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == BELG && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == DANE && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == DANE && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == DANE && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == ESPA && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == ESPA && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == ESPA && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == FINL && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == FINL && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == FINL && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == GRBR && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == GRBR && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == GRBR && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == GREC && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == GREC && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == GREC && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == HOLL && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == HOLL && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == HOLL && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == IRLA && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == IRLA && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == IRLA && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == ISLA && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == ISLA && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == ISLA && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == ITAL && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == ITAL && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == ITAL && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == NORV && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == NORV && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == NORV && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == PORT && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == PORT && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == PORT && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == SUED && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == SUED && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == SUED && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == SUIS && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == SUIS && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == SUIS && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == YOUG && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == YOUG && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == YOUG && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == CHIL && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == CHIL && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == CHIL && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == AFSU && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == AFSU && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == AFSU && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == ISRA && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == ISRA && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == ISRA && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == HONG && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == HONG && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == HONG && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == TCHE && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == TCHE && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == TCHE && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == POLO && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == POLO && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == POLO && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == SLVQ && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == SLVQ && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == SLVQ && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == JAPO && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == JAPO && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == JAPO && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == TAIW && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == TAIW && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == TAIW && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == AUST && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == AUST && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == AUST && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == URUG && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == URUG && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == URUG && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == DAID && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == DAID && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == DAID && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == DAIF && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == DAIF && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == DAIF && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == EUOR && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == EUOR && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == EUOR && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == FRAN && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == FRAN && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == FRAN && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == DOTO && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == DOTO && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == DOTO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == ALLE && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == ALLE && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == ALLE && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == AUTR && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == AUTR && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == AUTR && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == BELG && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == BELG && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == BELG && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == DANE && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == DANE && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == DANE && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == ESPA && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == ESPA && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == ESPA && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == FINL && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == FINL && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == FINL && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == GRBR && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == GRBR && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == GRBR && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == GREC && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == GREC && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == GREC && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == HOLL && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == HOLL && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == HOLL && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == IRLA && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == IRLA && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == IRLA && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == ISLA && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == ISLA && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == ISLA && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == ITAL && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == ITAL && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == ITAL && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == NORV && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == NORV && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == NORV && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == PORT && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == PORT && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == PORT && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == SUED && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == SUED && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == SUED && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == SUIS && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == SUIS && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == SUIS && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == YOUG && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == YOUG && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == YOUG && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == MAGH && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == MAGH && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == MAGH && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == TURQ && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == TURQ && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == TURQ && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == ARGE && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == ARGE && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == ARGE && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == BRES && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == BRES && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == BRES && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == CHIL && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == CHIL && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == CHIL && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == COLO && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == COLO && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == COLO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == AFSU && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == AFSU && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == AFSU && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == MARO && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == MARO && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == MARO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == ISRA && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == ISRA && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == ISRA && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == HONG && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == HONG && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == HONG && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == TCHE && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == TCHE && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == TCHE && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == POLO && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == POLO && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == POLO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == SLVQ && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == SLVQ && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == SLVQ && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == JAPO && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == JAPO && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == JAPO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == TAIW && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == TAIW && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == TAIW && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == AUST && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == AUST && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == AUST && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == URUG && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == URUG && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == URUG && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == DAIB && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == DAIB && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == DAIB && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == DAIC && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == DAIC && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == DAIC && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == DAID && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == DAID && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == DAID && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == DAIF && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == DAIF && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == DAIF && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == EUOR && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == EUOR && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == EUOR && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == CETI && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == CETI && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == CETI && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == FRAN && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == FRAN && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == FRAN && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == DOTO && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == DOTO && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == DOTO && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == ALLE && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == ALLE && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == ALLE && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == AUTR && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == AUTR && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == AUTR && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == BELG && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == BELG && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == BELG && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == DANE && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == DANE && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == DANE && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == ESPA && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == ESPA && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == ESPA && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == FINL && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == FINL && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == FINL && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == GRBR && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == GRBR && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == GRBR && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == GREC && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == GREC && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == GREC && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == HOLL && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == HOLL && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == HOLL && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == IRLA && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == IRLA && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == IRLA && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == ISLA && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == ISLA && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == ISLA && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == ITAL && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == ITAL && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == ITAL && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == NORV && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == NORV && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == NORV && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == PORT && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == PORT && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == PORT && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == SUED && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == SUED && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == SUED && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == SUIS && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == SUIS && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == SUIS && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == YOUG && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == YOUG && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == YOUG && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == MAGH && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == MAGH && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == MAGH && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == TURQ && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == TURQ && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == TURQ && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == ARGE && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == ARGE && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == ARGE && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == BRES && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == BRES && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == BRES && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == CHIL && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == CHIL && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == CHIL && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == COLO && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == COLO && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == COLO && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == AFSU && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == AFSU && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == AFSU && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == MARO && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == MARO && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == MARO && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == ISRA && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == ISRA && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == ISRA && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == HONG && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == HONG && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == HONG && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == TCHE && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == TCHE && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == TCHE && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == POLO && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == POLO && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == POLO && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == SLVQ && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == SLVQ && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == SLVQ && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == JAPO && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == JAPO && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == JAPO && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == TAIW && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == TAIW && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == TAIW && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == AUST && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == AUST && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == AUST && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == URUG && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == URUG && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == URUG && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == DAIB && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == DAIB && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == DAIB && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == DAIC && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == DAIC && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == DAIC && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == DAID && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == DAID && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == DAID && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == DAIF && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == DAIF && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == DAIF && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == EUOR && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == EUOR && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == EUOR && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == CETI && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == CETI && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == CETI && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == FRAN && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == FRAN && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == FRAN && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == DOTO && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == DOTO && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == DOTO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == ALLE && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == ALLE && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == ALLE && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == AUTR && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == AUTR && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == AUTR && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == BELG && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == BELG && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == BELG && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == DANE && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == DANE && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == DANE && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == ESPA && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == ESPA && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == ESPA && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == FINL && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == FINL && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == FINL && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == GRBR && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == GRBR && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == GRBR && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == GREC && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == GREC && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == GREC && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == HOLL && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == HOLL && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == HOLL && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == IRLA && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == IRLA && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == IRLA && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == ISLA && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == ISLA && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == ISLA && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == ITAL && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == ITAL && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == ITAL && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == NORV && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == NORV && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == NORV && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == PORT && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == PORT && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == PORT && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == SUED && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == SUED && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == SUED && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == SUIS && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == SUIS && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == SUIS && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == YOUG && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == YOUG && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == YOUG && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == MAGH && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == MAGH && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == MAGH && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == TURQ && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == TURQ && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == TURQ && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == ARGE && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == ARGE && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == ARGE && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == BRES && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == BRES && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == BRES && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == CHIL && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == CHIL && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == CHIL && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == COLO && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == COLO && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == COLO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == AFSU && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == AFSU && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == AFSU && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == MARO && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == MARO && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == MARO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == ISRA && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == ISRA && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == ISRA && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == HONG && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == HONG && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == HONG && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == TCHE && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == TCHE && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == TCHE && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == POLO && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == POLO && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == POLO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == SLVQ && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == SLVQ && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == SLVQ && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == JAPO && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == JAPO && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == JAPO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == TAIW && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == TAIW && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == TAIW && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == AUST && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == AUST && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == AUST && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == URUG && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == URUG && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == URUG && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == DAIB && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == DAIB && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == DAIB && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == DAIC && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == DAIC && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == DAIC && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == DAID && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == DAID && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == DAID && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == DAIF && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == DAIF && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == DAIF && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == EUOR && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == EUOR && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == EUOR && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == CETI && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == CETI && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == CETI && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == FRAN && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == FRAN && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == FRAN && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == DOTO && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == DOTO && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == DOTO && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == ALLE && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == ALLE && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == ALLE && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == AUTR && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == AUTR && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == AUTR && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == BELG && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == BELG && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == BELG && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == DANE && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == DANE && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == DANE && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == ESPA && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == ESPA && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == ESPA && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == FINL && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == FINL && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == FINL && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == GRBR && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == GRBR && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == GRBR && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == GREC && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == GREC && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == GREC && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == HOLL && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == HOLL && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == HOLL && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == IRLA && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == IRLA && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == IRLA && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == ISLA && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == ISLA && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == ISLA && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == ITAL && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == ITAL && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == ITAL && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == NORV && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == NORV && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == NORV && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == PORT && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == PORT && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == PORT && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == SUED && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == SUED && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == SUED && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == SUIS && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == SUIS && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == SUIS && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == YOUG && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == YOUG && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == YOUG && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == CHIL && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == CHIL && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == CHIL && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == AFSU && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == AFSU && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == AFSU && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == ISRA && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == ISRA && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == ISRA && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == HONG && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == HONG && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == HONG && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == TCHE && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == TCHE && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == TCHE && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == POLO && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == POLO && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == POLO && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == SLVQ && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == SLVQ && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == SLVQ && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == JAPO && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == JAPO && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == JAPO && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == TAIW && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == TAIW && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == TAIW && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == AUST && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == AUST && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == AUST && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == URUG && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == URUG && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == URUG && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == DAID && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == DAID && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == DAID && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == DAIF && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == DAIF && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == DAIF && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == EUOR && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == EUOR && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == EUOR && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == FRAN && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == FRAN && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == DOTO && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == DOTO && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == ALLE && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == ALLE && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == AUTR && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == AUTR && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == BELG && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == BELG && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == DANE && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == DANE && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == ESPA && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == ESPA && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == FINL && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == FINL && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == GRBR && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == GRBR && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == GREC && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == GREC && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == HOLL && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == HOLL && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == IRLA && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == IRLA && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == ISLA && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == ISLA && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == ITAL && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == ITAL && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == NORV && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == NORV && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == PORT && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == PORT && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == SUED && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == SUED && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == SUIS && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == SUIS && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == YOUG && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == YOUG && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == CHIL && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == CHIL && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == AFSU && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == AFSU && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == ISRA && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == ISRA && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == HONG && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == HONG && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == TCHE && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == TCHE && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == POLO && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == POLO && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == SLVQ && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == SLVQ && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == JAPO && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == JAPO && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == TAIW && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == TAIW && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == AUST && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == AUST && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == URUG && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == URUG && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == DAID && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == DAID && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == DAIF && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == DAIF && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == EUOR && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == EUOR && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == FRAN && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == FRAN && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == FRAN && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == DOTO && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == DOTO && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == DOTO && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == ALLE && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == ALLE && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == ALLE && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == AUTR && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == AUTR && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == AUTR && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == BELG && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == BELG && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == BELG && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == DANE && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == DANE && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == DANE && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == ESPA && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == ESPA && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == ESPA && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == FINL && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == FINL && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == FINL && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == GRBR && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == GRBR && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == GRBR && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == GREC && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == GREC && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == GREC && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == HOLL && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == HOLL && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == HOLL && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == IRLA && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == IRLA && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == IRLA && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == ISLA && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == ISLA && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == ISLA && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == ITAL && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == ITAL && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == ITAL && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == NORV && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == NORV && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == NORV && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == PORT && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == PORT && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == PORT && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == SUED && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == SUED && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == SUED && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == SUIS && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == SUIS && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == SUIS && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == YOUG && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == YOUG && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == YOUG && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == MAGH && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == MAGH && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == MAGH && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == TURQ && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == TURQ && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == TURQ && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == ARGE && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == ARGE && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == ARGE && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == BRES && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == BRES && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == BRES && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == CHIL && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == CHIL && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == CHIL && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == COLO && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == COLO && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == COLO && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == AFSU && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == AFSU && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == AFSU && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == MARO && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == MARO && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == MARO && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == ISRA && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == ISRA && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == ISRA && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == HONG && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == HONG && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == HONG && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == TCHE && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == TCHE && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == TCHE && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == POLO && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == POLO && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == POLO && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == SLVQ && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == SLVQ && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == SLVQ && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == JAPO && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == JAPO && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == JAPO && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == TAIW && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == TAIW && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == TAIW && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == AUST && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == AUST && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == AUST && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == URUG && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == URUG && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == URUG && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == DAIB && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == DAIB && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == DAIB && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == DAIC && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == DAIC && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == DAIC && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == DAID && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == DAID && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == DAID && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == DAIF && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == DAIF && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == DAIF && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == EUOR && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == EUOR && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == EUOR && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == CETI && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == CETI && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == CETI && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == FRAN && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == FRAN && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == FRAN && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == DOTO && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == DOTO && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == DOTO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == ALLE && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == ALLE && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == ALLE && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == AUTR && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == AUTR && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == AUTR && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == BELG && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == BELG && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == BELG && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == DANE && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == DANE && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == DANE && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == ESPA && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == ESPA && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == ESPA && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == FINL && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == FINL && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == FINL && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == GRBR && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == GRBR && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == GRBR && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == GREC && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == GREC && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == GREC && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == HOLL && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == HOLL && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == HOLL && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == IRLA && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == IRLA && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == IRLA && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == ISLA && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == ISLA && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == ISLA && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == ITAL && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == ITAL && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == ITAL && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == NORV && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == NORV && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == NORV && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == PORT && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == PORT && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == PORT && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == SUED && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == SUED && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == SUED && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == SUIS && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == SUIS && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == SUIS && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == YOUG && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == YOUG && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == YOUG && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == MAGH && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == MAGH && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == MAGH && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == TURQ && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == TURQ && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == TURQ && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == ARGE && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == ARGE && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == ARGE && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == BRES && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == BRES && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == BRES && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == CHIL && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == CHIL && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == CHIL && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == COLO && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == COLO && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == COLO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == AFSU && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == AFSU && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == AFSU && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == MARO && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == MARO && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == MARO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == ISRA && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == ISRA && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == ISRA && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == HONG && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == HONG && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == HONG && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == TCHE && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == TCHE && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == TCHE && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == POLO && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == POLO && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == POLO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == SLVQ && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == SLVQ && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == SLVQ && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == JAPO && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == JAPO && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == JAPO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == TAIW && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == TAIW && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == TAIW && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == AUST && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == AUST && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == AUST && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == URUG && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == URUG && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == URUG && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == DAIB && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == DAIB && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == DAIB && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == DAIC && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == DAIC && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == DAIC && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == DAID && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == DAID && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == DAID && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == DAIF && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == DAIF && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == DAIF && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == EUOR && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == EUOR && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == EUOR && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == CETI && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == CETI && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == CETI && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == FRAN && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == FRAN && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == FRAN && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == DOTO && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == DOTO && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == DOTO && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == ALLE && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == ALLE && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == ALLE && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == AUTR && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == AUTR && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == AUTR && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == BELG && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == BELG && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == BELG && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == DANE && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == DANE && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == DANE && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == ESPA && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == ESPA && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == ESPA && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == FINL && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == FINL && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == FINL && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == GRBR && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == GRBR && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == GRBR && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == GREC && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == GREC && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == GREC && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == HOLL && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == HOLL && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == HOLL && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == IRLA && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == IRLA && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == IRLA && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == ISLA && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == ISLA && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == ISLA && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == ITAL && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == ITAL && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == ITAL && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == NORV && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == NORV && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == NORV && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == PORT && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == PORT && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == PORT && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == SUED && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == SUED && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == SUED && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == SUIS && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == SUIS && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == SUIS && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == YOUG && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == YOUG && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == YOUG && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == MAGH && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == MAGH && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == MAGH && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == TURQ && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == TURQ && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == TURQ && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == ARGE && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == ARGE && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == ARGE && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == BRES && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == BRES && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == BRES && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) ) || ( ( var1 == B64 && var2 == E3 && var3 == MG && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == CHIL && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == CHIL && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == CHIL && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == COLO && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == COLO && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == COLO && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == AFSU && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == AFSU && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == AFSU && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == MARO && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == MARO && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == MARO && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == ISRA && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == ISRA && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == ISRA && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == HONG && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == HONG && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == HONG && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == TCHE && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == TCHE && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == TCHE && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == POLO && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == POLO && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == POLO && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == SLVQ && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == SLVQ && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == SLVQ && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == JAPO && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == JAPO && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == JAPO && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == TAIW && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == TAIW && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == TAIW && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == AUST && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == AUST && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == AUST && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == URUG && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == URUG && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == URUG && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == DAIB && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == DAIB && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == DAIB && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == DAIC && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == DAIC && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == DAIC && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == DAID && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == DAID && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == DAID && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == DAIF && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == DAIF && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == DAIF && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == EUOR && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == EUOR && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == EUOR && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == CETI && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == CETI && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == CETI && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == FRAN && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == FRAN && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == FRAN && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == DOTO && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == DOTO && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == DOTO && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == ALLE && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == ALLE && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == ALLE && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == AUTR && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == AUTR && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == AUTR && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == BELG && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == BELG && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == BELG && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == DANE && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == DANE && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == DANE && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == ESPA && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == ESPA && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == ESPA && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == FINL && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == FINL && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == FINL && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == GRBR && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == GRBR && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == GRBR && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == GREC && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == GREC && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == GREC && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == HOLL && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == HOLL && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == HOLL && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == IRLA && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == IRLA && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == IRLA && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == ISLA && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == ISLA && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == ISLA && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == ITAL && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == ITAL && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == ITAL && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == NORV && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == NORV && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == NORV && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == PORT && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == PORT && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == PORT && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == SUED && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == SUED && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == SUED && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == SUIS && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == SUIS && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == SUIS && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == YOUG && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == YOUG && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == YOUG && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == CHIL && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == CHIL && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == CHIL && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == AFSU && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == AFSU && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == AFSU && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == ISRA && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == ISRA && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == ISRA && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == HONG && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == HONG && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == HONG && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == TCHE && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == TCHE && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == TCHE && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == POLO && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == POLO && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == POLO && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == SLVQ && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == SLVQ && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == SLVQ && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == JAPO && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == JAPO && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == JAPO && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == TAIW && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == TAIW && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == TAIW && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == AUST && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == AUST && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == AUST && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == URUG && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == URUG && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == URUG && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == DAID && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == DAID && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == DAID && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == DAIF && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == DAIF && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == DAIF && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == EUOR && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == EUOR && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == EUOR && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == FRAN && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == FRAN && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == FRAN && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == DOTO && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == DOTO && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == DOTO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == ALLE && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == ALLE && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == ALLE && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == AUTR && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == AUTR && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == AUTR && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == BELG && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == BELG && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == BELG && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == DANE && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == DANE && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == DANE && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == ESPA && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == ESPA && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == ESPA && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == FINL && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == FINL && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == FINL && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == GRBR && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == GRBR && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == GRBR && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == GREC && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == GREC && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == GREC && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == HOLL && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == HOLL && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == HOLL && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == IRLA && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == IRLA && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == IRLA && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == ISLA && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == ISLA && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == ISLA && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == ITAL && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == ITAL && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == ITAL && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == NORV && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == NORV && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == NORV && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == PORT && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == PORT && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == PORT && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == SUED && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == SUED && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == SUED && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == SUIS && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == SUIS && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == SUIS && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == YOUG && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == YOUG && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == YOUG && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == MAGH && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == MAGH && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == MAGH && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == TURQ && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == TURQ && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == TURQ && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == ARGE && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == ARGE && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == ARGE && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == BRES && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == BRES && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == BRES && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == CHIL && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == CHIL && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == CHIL && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == COLO && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == COLO && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == COLO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == AFSU && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == AFSU && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == AFSU && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == MARO && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == MARO && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == MARO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == ISRA && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == ISRA && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == ISRA && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == HONG && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == HONG && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == HONG && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == TCHE && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == TCHE && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == TCHE && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == POLO && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == POLO && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == POLO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == SLVQ && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == SLVQ && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == SLVQ && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == JAPO && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == JAPO && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == JAPO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == TAIW && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == TAIW && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == TAIW && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == AUST && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == AUST && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == AUST && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == URUG && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == URUG && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == URUG && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == DAIB && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == DAIB && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == DAIB && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == DAIC && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == DAIC && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == DAIC && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == DAID && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == DAID && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == DAID && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == DAIF && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == DAIF && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == DAIF && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == EUOR && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == EUOR && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == EUOR && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == CETI && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == CETI && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == CETI && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == FRAN && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == FRAN && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == FRAN && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == DOTO && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == DOTO && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == DOTO && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == ALLE && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == ALLE && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == ALLE && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == AUTR && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == AUTR && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == AUTR && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == BELG && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == BELG && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == BELG && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == DANE && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == DANE && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == DANE && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == ESPA && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == ESPA && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == ESPA && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == FINL && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == FINL && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == FINL && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == GRBR && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == GRBR && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == GRBR && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == GREC && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == GREC && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == GREC && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == HOLL && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == HOLL && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == HOLL && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == IRLA && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == IRLA && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == IRLA && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == ISLA && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == ISLA && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == ISLA && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == ITAL && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == ITAL && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == ITAL && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == NORV && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == NORV && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == NORV && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == PORT && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == PORT && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == PORT && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == SUED && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == SUED && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == SUED && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == SUIS && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == SUIS && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == SUIS && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == YOUG && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == YOUG && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == YOUG && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == MAGH && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == MAGH && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == MAGH && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == TURQ && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == TURQ && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == TURQ && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == ARGE && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == ARGE && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == ARGE && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == BRES && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == BRES && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == BRES && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == CHIL && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == CHIL && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == CHIL && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == COLO && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == COLO && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == COLO && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == AFSU && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == AFSU && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == AFSU && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == MARO && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == MARO && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == MARO && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == ISRA && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == ISRA && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == ISRA && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == HONG && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == HONG && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == HONG && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == TCHE && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == TCHE && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == TCHE && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == POLO && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == POLO && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == POLO && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == SLVQ && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == SLVQ && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == SLVQ && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == JAPO && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == JAPO && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == JAPO && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == TAIW && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == TAIW && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == TAIW && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == AUST && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == AUST && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == AUST && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == URUG && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == URUG && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == URUG && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == DAIB && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == DAIB && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == DAIB && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == DAIC && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == DAIC && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == DAIC && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == DAID && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == DAID && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == DAID && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == DAIF && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == DAIF && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == DAIF && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == EUOR && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == EUOR && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == EUOR && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == CETI && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == CETI && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == CETI && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == FRAN && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == FRAN && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == FRAN && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == DOTO && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == DOTO && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == DOTO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == ALLE && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == ALLE && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == ALLE && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == AUTR && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == AUTR && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == AUTR && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == BELG && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == BELG && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == BELG && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == DANE && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == DANE && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == DANE && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == ESPA && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == ESPA && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == ESPA && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == FINL && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == FINL && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == FINL && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == GRBR && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == GRBR && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == GRBR && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == GREC && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == GREC && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == GREC && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == HOLL && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == HOLL && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == HOLL && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == IRLA && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == IRLA && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == IRLA && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == ISLA && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == ISLA && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == ISLA && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == ITAL && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == ITAL && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == ITAL && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == NORV && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == NORV && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == NORV && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == PORT && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == PORT && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == PORT && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == SUED && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == SUED && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == SUED && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == SUIS && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == SUIS && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == SUIS && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == YOUG && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == YOUG && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == YOUG && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == MAGH && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == MAGH && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == MAGH && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == TURQ && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == TURQ && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == TURQ && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == ARGE && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == ARGE && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == ARGE && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == BRES && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == BRES && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == BRES && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == CHIL && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == CHIL && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == CHIL && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == COLO && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == COLO && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == COLO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == AFSU && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == AFSU && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == AFSU && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == MARO && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == MARO && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == MARO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == ISRA && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == ISRA && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == ISRA && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == HONG && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == HONG && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == HONG && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == TCHE && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == TCHE && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == TCHE && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == POLO && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == POLO && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == POLO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == SLVQ && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == SLVQ && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == SLVQ && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == JAPO && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == JAPO && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == JAPO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == TAIW && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == TAIW && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == TAIW && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == AUST && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == AUST && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == AUST && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == URUG && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == URUG && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == URUG && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == DAIB && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == DAIB && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == DAIB && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == DAIC && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == DAIC && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == DAIC && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == DAID && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == DAID && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == DAID && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == DAIF && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == DAIF && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == DAIF && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == EUOR && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == EUOR && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == EUOR && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == CETI && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == CETI && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == CETI && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == FRAN && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == FRAN && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == FRAN && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == DOTO && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == DOTO && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == DOTO && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == ALLE && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == ALLE && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == ALLE && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == AUTR && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == AUTR && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == AUTR && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == BELG && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == BELG && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == BELG && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == DANE && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == DANE && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == DANE && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == ESPA && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == ESPA && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == ESPA && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == FINL && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == FINL && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == FINL && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == GRBR && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == GRBR && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == GRBR && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == GREC && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == GREC && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == GREC && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == HOLL && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == HOLL && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == HOLL && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == IRLA && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == IRLA && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == IRLA && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == ISLA && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == ISLA && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == ISLA && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == ITAL && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == ITAL && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == ITAL && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == NORV && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == NORV && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == NORV && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == PORT && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == PORT && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == PORT && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == SUED && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == SUED && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == SUED && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == SUIS && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == SUIS && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == SUIS && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == YOUG && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == YOUG && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == YOUG && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == CHIL && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == CHIL && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == CHIL && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == AFSU && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == AFSU && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == AFSU && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == ISRA && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == ISRA && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == ISRA && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == HONG && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == HONG && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == HONG && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == TCHE && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == TCHE && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == TCHE && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == POLO && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == POLO && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == POLO && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == SLVQ && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == SLVQ && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == SLVQ && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == JAPO && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == JAPO && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == JAPO && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == TAIW && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == TAIW && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == TAIW && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == AUST && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == AUST && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == AUST && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == URUG && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == URUG && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == URUG && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == DAID && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == DAID && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == DAID && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == DAIF && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == DAIF && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == DAIF && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == EUOR && var81 == BVA4 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == EUOR && var81 == BVA4 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == EUOR && var81 == BVA4 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == FRAN && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == FRAN && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == DOTO && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == DOTO && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == ALLE && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == ALLE && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == AUTR && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == AUTR && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == BELG && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == BELG && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == DANE && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == DANE && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == ESPA && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == ESPA && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == FINL && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == FINL && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == GRBR && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == GRBR && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == GREC && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == GREC && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == HOLL && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == HOLL && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == IRLA && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == IRLA && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == ISLA && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == ISLA && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == ITAL && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == ITAL && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == NORV && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == NORV && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == PORT && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == PORT && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == SUED && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == SUED && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == SUIS && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == SUIS && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == YOUG && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == YOUG && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == CHIL && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == CHIL && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == AFSU && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == AFSU && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == ISRA && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == ISRA && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == HONG && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == HONG && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == TCHE && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == TCHE && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == POLO && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == POLO && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == SLVQ && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == SLVQ && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == JAPO && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == JAPO && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == TAIW && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == TAIW && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == AUST && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == AUST && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == URUG && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == URUG && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == DAID && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == DAID && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == DAIF && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == DAIF && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == EUOR && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == EUOR && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == FRAN && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == FRAN && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == FRAN && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == DOTO && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == DOTO && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == DOTO && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == ALLE && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == ALLE && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == ALLE && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == AUTR && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == AUTR && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == AUTR && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == BELG && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == BELG && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == BELG && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == DANE && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == DANE && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == DANE && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == ESPA && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == ESPA && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == ESPA && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == FINL && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == FINL && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == FINL && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == GRBR && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == GRBR && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == GRBR && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == GREC && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == GREC && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == GREC && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == HOLL && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == HOLL && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == HOLL && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == IRLA && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == IRLA && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == IRLA && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == ISLA && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == ISLA && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == ISLA && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == ITAL && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == ITAL && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == ITAL && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == NORV && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == NORV && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == NORV && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == PORT && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == PORT && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == PORT && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == SUED && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == SUED && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == SUED && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == SUIS && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == SUIS && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == SUIS && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == YOUG && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == YOUG && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == YOUG && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == MAGH && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == MAGH && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == MAGH && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == TURQ && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == TURQ && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == TURQ && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == ARGE && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == ARGE && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == ARGE && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == BRES && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == BRES && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == BRES && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == CHIL && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == CHIL && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == CHIL && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == COLO && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == COLO && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == COLO && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == AFSU && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == AFSU && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == AFSU && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == MARO && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == MARO && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == MARO && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == ISRA && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == ISRA && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == ISRA && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == HONG && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == HONG && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == HONG && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == TCHE && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == TCHE && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == TCHE && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == POLO && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == POLO && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == POLO && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == SLVQ && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == SLVQ && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == SLVQ && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == JAPO && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == JAPO && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == JAPO && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == TAIW && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == TAIW && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == TAIW && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == AUST && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == AUST && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == AUST && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == URUG && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == URUG && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == URUG && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == DAIB && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == DAIB && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == DAIB && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == DAIC && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == DAIC && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == DAIC && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == DAID && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == DAID && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == DAID && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == DAIF && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == DAIF && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == DAIF && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == EUOR && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == EUOR && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == EUOR && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == CETI && var81 == BVM5 && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == CETI && var81 == BVM5 && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == CETI && var81 == BVM5 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) ) || ( ( var1 == B64 && var2 == E5 && var3 == MT && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == FRAN && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == FRAN && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == FRAN && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == DOTO && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == DOTO && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == DOTO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == ALLE && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == ALLE && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == ALLE && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == AUTR && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == AUTR && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == AUTR && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == BELG && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == BELG && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == BELG && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == DANE && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == DANE && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == DANE && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == ESPA && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == ESPA && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == ESPA && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == FINL && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == FINL && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == FINL && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == GRBR && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == GRBR && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == GRBR && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == GREC && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == GREC && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == GREC && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == HOLL && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == HOLL && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == HOLL && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == IRLA && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == IRLA && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == IRLA && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == ISLA && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == ISLA && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == ISLA && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == ITAL && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == ITAL && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == ITAL && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == NORV && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == NORV && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == NORV && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == PORT && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == PORT && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == PORT && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == SUED && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == SUED && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == SUED && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == SUIS && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == SUIS && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == SUIS && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == YOUG && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == YOUG && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == YOUG && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == MAGH && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == MAGH && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == MAGH && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == TURQ && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == TURQ && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == TURQ && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == ARGE && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == ARGE && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == ARGE && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == BRES && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == BRES && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == BRES && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == CHIL && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == CHIL && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == CHIL && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == COLO && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == COLO && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == COLO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == AFSU && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == AFSU && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == AFSU && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == MARO && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == MARO && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == MARO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == ISRA && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == ISRA && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == ISRA && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == HONG && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == HONG && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == HONG && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == TCHE && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == TCHE && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == TCHE && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == POLO && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == POLO && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == POLO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == SLVQ && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == SLVQ && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == SLVQ && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == JAPO && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == JAPO && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == JAPO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == TAIW && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == TAIW && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == TAIW && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == AUST && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == AUST && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == AUST && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == URUG && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == URUG && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == URUG && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == DAIB && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == DAIB && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == DAIB && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == DAIC && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == DAIC && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == DAIC && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == DAID && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == DAID && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == DAID && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == DAIF && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == DAIF && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == DAIF && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == EUOR && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == EUOR && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == EUOR && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == CETI && var81 == BVM5 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == CETI && var81 == BVM5 && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == CETI && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == FRAN && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == FRAN && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == FRAN && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == DOTO && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == DOTO && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == DOTO && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == ALLE && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == ALLE && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == ALLE && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == AUTR && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == AUTR && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == AUTR && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == BELG && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == BELG && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == BELG && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == DANE && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == DANE && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == DANE && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == ESPA && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == ESPA && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == ESPA && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == FINL && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == FINL && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == FINL && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == GRBR && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == GRBR && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == GRBR && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == GREC && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == GREC && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == GREC && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == HOLL && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == HOLL && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == HOLL && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == IRLA && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == IRLA && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == IRLA && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == ISLA && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == ISLA && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == ISLA && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == ITAL && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == ITAL && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == ITAL && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == NORV && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == NORV && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == NORV && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == PORT && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == PORT && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == PORT && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == SUED && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == SUED && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == SUED && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == SUIS && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == SUIS && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == SUIS && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == YOUG && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == YOUG && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == YOUG && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == MAGH && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == MAGH && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == MAGH && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == TURQ && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == TURQ && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == TURQ && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == ARGE && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == ARGE && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == ARGE && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == BRES && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == BRES && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == BRES && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == CHIL && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == CHIL && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == CHIL && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == COLO && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == COLO && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == COLO && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == AFSU && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == AFSU && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == AFSU && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == MARO && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == MARO && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == MARO && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == ISRA && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == ISRA && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == ISRA && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == HONG && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == HONG && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == HONG && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == TCHE && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == TCHE && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == TCHE && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == POLO && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == POLO && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == POLO && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == SLVQ && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == SLVQ && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == SLVQ && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == JAPO && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == JAPO && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == JAPO && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == TAIW && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == TAIW && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == TAIW && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == AUST && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == AUST && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == AUST && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == URUG && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == URUG && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == URUG && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == DAIB && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == DAIB && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == DAIB && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == DAIC && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == DAIC && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == DAIC && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == DAID && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == DAID && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == DAID && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == DAIF && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == DAIF && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == DAIF && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == EUOR && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == EUOR && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == EUOR && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == CETI && var81 == BVA4 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == CETI && var81 == BVA4 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == CETI && var81 == BVA4 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == FRAN && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == DOTO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == ALLE && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == AUTR && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == BELG && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == DANE && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == ESPA && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == FINL && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == GRBR && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == GREC && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == HOLL && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == IRLA && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == ISLA && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == ITAL && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == NORV && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == PORT && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == SUED && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == SUIS && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == YOUG && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == MAGH && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == TURQ && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == ARGE && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == BRES && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == CHIL && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == COLO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == AFSU && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == MARO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == ISRA && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == HONG && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == TCHE && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == POLO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == SLVQ && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == JAPO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == TAIW && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == AUST && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == URUG && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == DAIB && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == DAIC && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == DAID && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == DAIF && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == EUOR && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == CETI && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == FRAN && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == DOTO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == ALLE && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == AUTR && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == BELG && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == DANE && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == ESPA && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == FINL && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == GRBR && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == GREC && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == HOLL && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == IRLA && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == ISLA && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == ITAL && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == NORV && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == PORT && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == SUED && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == SUIS && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == YOUG && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == MAGH && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == TURQ && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == ARGE && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == BRES && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == CHIL && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == COLO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == AFSU && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == MARO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == ISRA && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == HONG && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == TCHE && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == POLO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == SLVQ && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == JAPO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == TAIW && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == AUST && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == URUG && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == DAIB && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == DAIC && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == DAID && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == DAIF && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == EUOR && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == CETI && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == FRAN && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == DOTO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == ALLE && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == AUTR && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == BELG && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == DANE && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == ESPA && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == FINL && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == GRBR && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == GREC && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == HOLL && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == IRLA && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == ISLA && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == ITAL && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == NORV && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == PORT && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == SUED && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == SUIS && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == YOUG && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == MAGH && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == TURQ && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == ARGE && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == BRES && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == CHIL && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == COLO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == AFSU && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == MARO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == ISRA && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == HONG && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == TCHE && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == POLO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == SLVQ && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == JAPO && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == TAIW && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == AUST && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == URUG && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == DAIB && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == DAIC && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == DAID && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == DAIF && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == EUOR && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == CETI && var81 == BVM5 && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == FRAN && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == DOTO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == ALLE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == AUTR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == BELG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == DANE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == ESPA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == FINL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == GRBR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == GREC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == HOLL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == IRLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == ISLA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == ITAL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == NORV && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == PORT && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == SUED && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == SUIS && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == YOUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == MAGH && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == TURQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == ARGE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == BRES && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == CHIL && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == COLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == AFSU && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == MARO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == ISRA && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == HONG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == TCHE && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == POLO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == SLVQ && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == JAPO && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == TAIW && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == AUST && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == URUG && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == DAIB && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == DAIC && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == DAID && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == DAIF && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == EUOR && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == CETI && var81 == BVM5 && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == FRAN && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == DOTO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == ALLE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == AUTR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == BELG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == DANE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == ESPA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == FINL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == GRBR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == GREC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == HOLL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == IRLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == ISLA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == ITAL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == NORV && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == PORT && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == SUED && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == SUIS && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == YOUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == MAGH && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == TURQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == ARGE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == BRES && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == CHIL && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == COLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == AFSU && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == MARO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == ISRA && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == HONG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == TCHE && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == POLO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == SLVQ && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == JAPO && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == TAIW && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == AUST && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == URUG && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == DAIB && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == DAIC && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == DAID && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == DAIF && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == EUOR && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == CETI && var81 == BVM5 && var94 == EU96 && var98 == Autre913 ) ) ); ( ( ( var2 == E0 && var3 == M5 && var5 == FRAN && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == M5 && var5 == FRAN && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == M5 && var5 == DOTO && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == M5 && var5 == DOTO && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == M5 && var5 == ALLE && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == M5 && var5 == ALLE && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == M5 && var5 == AUTR && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == M5 && var5 == AUTR && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == M5 && var5 == BELG && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == M5 && var5 == BELG && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == M5 && var5 == DANE && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == M5 && var5 == DANE && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == M5 && var5 == ESPA && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == M5 && var5 == ESPA && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == M5 && var5 == FINL && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == M5 && var5 == FINL && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == M5 && var5 == GRBR && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == M5 && var5 == GRBR && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == M5 && var5 == GREC && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == M5 && var5 == GREC && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == M5 && var5 == HOLL && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == M5 && var5 == HOLL && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == M5 && var5 == IRLA && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == M5 && var5 == IRLA && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == M5 && var5 == ISLA && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == M5 && var5 == ISLA && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == M5 && var5 == ITAL && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == M5 && var5 == ITAL && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == M5 && var5 == NORV && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == M5 && var5 == NORV && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == M5 && var5 == PORT && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == M5 && var5 == PORT && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == M5 && var5 == SUED && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == M5 && var5 == SUED && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == M5 && var5 == SUIS && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == M5 && var5 == SUIS && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == M5 && var5 == YOUG && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == M5 && var5 == YOUG && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == M5 && var5 == MAGH && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == M5 && var5 == TURQ && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == M5 && var5 == TURQ && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == M5 && var5 == ARGE && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == M5 && var5 == ARGE && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == M5 && var5 == BRES && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == M5 && var5 == BRES && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == M5 && var5 == CHIL && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == M5 && var5 == CHIL && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == M5 && var5 == COLO && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == M5 && var5 == COLO && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == M5 && var5 == AFSU && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == M5 && var5 == AFSU && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == M5 && var5 == MARO && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == M5 && var5 == ISRA && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == M5 && var5 == ISRA && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == M5 && var5 == HONG && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == M5 && var5 == HONG && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == M5 && var5 == TCHE && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == M5 && var5 == TCHE && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == M5 && var5 == POLO && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == M5 && var5 == POLO && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == M5 && var5 == SLVQ && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == M5 && var5 == SLVQ && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == M5 && var5 == JAPO && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == M5 && var5 == JAPO && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == M5 && var5 == TAIW && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == M5 && var5 == TAIW && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == M5 && var5 == AUST && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == M5 && var5 == AUST && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == M5 && var5 == URUG && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == M5 && var5 == URUG && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == M5 && var5 == DAIB && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == M5 && var5 == DAIC && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == M5 && var5 == DAIC && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == M5 && var5 == DAID && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == M5 && var5 == DAID && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == M5 && var5 == DAIF && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == M5 && var5 == DAIF && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == M5 && var5 == EUOR && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == M5 && var5 == EUOR && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == M5 && var5 == CETI && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == M6 && var5 == FRAN && var11 == SSABS && var94 == EU93 ) || ( var2 == E0 && var3 == M6 && var5 == DOTO && var11 == SSABS && var94 == EU93 ) || ( var2 == E0 && var3 == M6 && var5 == ALLE && var11 == SSABS && var94 == EU93 ) || ( var2 == E0 && var3 == M6 && var5 == AUTR && var11 == SSABS && var94 == EU93 ) || ( var2 == E0 && var3 == M6 && var5 == BELG && var11 == SSABS && var94 == EU93 ) || ( var2 == E0 && var3 == M6 && var5 == DANE && var11 == SSABS && var94 == EU93 ) || ( var2 == E0 && var3 == M6 && var5 == ESPA && var11 == SSABS && var94 == EU93 ) || ( var2 == E0 && var3 == M6 && var5 == FINL && var11 == SSABS && var94 == EU93 ) || ( var2 == E0 && var3 == M6 && var5 == GRBR && var11 == SSABS && var94 == EU93 ) || ( var2 == E0 && var3 == M6 && var5 == GREC && var11 == SSABS && var94 == EU93 ) || ( var2 == E0 && var3 == M6 && var5 == HOLL && var11 == SSABS && var94 == EU93 ) || ( var2 == E0 && var3 == M6 && var5 == IRLA && var11 == SSABS && var94 == EU93 ) || ( var2 == E0 && var3 == M6 && var5 == ISLA && var11 == SSABS && var94 == EU93 ) || ( var2 == E0 && var3 == M6 && var5 == ITAL && var11 == SSABS && var94 == EU93 ) || ( var2 == E0 && var3 == M6 && var5 == NORV && var11 == SSABS && var94 == EU93 ) || ( var2 == E0 && var3 == M6 && var5 == PORT && var11 == SSABS && var94 == EU93 ) || ( var2 == E0 && var3 == M6 && var5 == SUED && var11 == SSABS && var94 == EU93 ) || ( var2 == E0 && var3 == M6 && var5 == SUIS && var11 == SSABS && var94 == EU93 ) || ( var2 == E0 && var3 == M6 && var5 == YOUG && var11 == SSABS && var94 == EU93 ) || ( var2 == E0 && var3 == M6 && var5 == MAGH && var11 == SSABS && var94 == EU93 ) || ( var2 == E0 && var3 == M6 && var5 == TURQ && var11 == SSABS && var94 == EU93 ) || ( var2 == E0 && var3 == M6 && var5 == ARGE && var11 == SSABS && var94 == EU93 ) || ( var2 == E0 && var3 == M6 && var5 == BRES && var11 == SSABS && var94 == EU93 ) || ( var2 == E0 && var3 == M6 && var5 == CHIL && var11 == SSABS && var94 == EU93 ) || ( var2 == E0 && var3 == M6 && var5 == COLO && var11 == SSABS && var94 == EU93 ) || ( var2 == E0 && var3 == M6 && var5 == AFSU && var11 == SSABS && var94 == EU93 ) || ( var2 == E0 && var3 == M6 && var5 == MARO && var11 == SSABS && var94 == EU93 ) || ( var2 == E0 && var3 == M6 && var5 == ISRA && var11 == SSABS && var94 == EU93 ) || ( var2 == E0 && var3 == M6 && var5 == HONG && var11 == SSABS && var94 == EU93 ) || ( var2 == E0 && var3 == M6 && var5 == TCHE && var11 == SSABS && var94 == EU93 ) || ( var2 == E0 && var3 == M6 && var5 == POLO && var11 == SSABS && var94 == EU93 ) || ( var2 == E0 && var3 == M6 && var5 == SLVQ && var11 == SSABS && var94 == EU93 ) || ( var2 == E0 && var3 == M6 && var5 == JAPO && var11 == SSABS && var94 == EU93 ) || ( var2 == E0 && var3 == M6 && var5 == TAIW && var11 == SSABS && var94 == EU93 ) || ( var2 == E0 && var3 == M6 && var5 == AUST && var11 == SSABS && var94 == EU93 ) || ( var2 == E0 && var3 == M6 && var5 == URUG && var11 == SSABS && var94 == EU93 ) || ( var2 == E0 && var3 == M6 && var5 == DAIB && var11 == SSABS && var94 == EU93 ) || ( var2 == E0 && var3 == M6 && var5 == DAIC && var11 == SSABS && var94 == EU93 ) || ( var2 == E0 && var3 == M6 && var5 == DAID && var11 == SSABS && var94 == EU93 ) || ( var2 == E0 && var3 == M6 && var5 == DAIF && var11 == SSABS && var94 == EU93 ) || ( var2 == E0 && var3 == M6 && var5 == EUOR && var11 == SSABS && var94 == EU93 ) || ( var2 == E0 && var3 == M6 && var5 == CETI && var11 == SSABS && var94 == EU93 ) || ( var2 == E0 && var3 == M7 && var5 == FRAN && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E0 && var3 == M7 && var5 == DOTO && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E0 && var3 == M7 && var5 == ALLE && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E0 && var3 == M7 && var5 == AUTR && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E0 && var3 == M7 && var5 == BELG && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E0 && var3 == M7 && var5 == DANE && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E0 && var3 == M7 && var5 == ESPA && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E0 && var3 == M7 && var5 == FINL && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E0 && var3 == M7 && var5 == GRBR && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E0 && var3 == M7 && var5 == GREC && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E0 && var3 == M7 && var5 == HOLL && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E0 && var3 == M7 && var5 == IRLA && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E0 && var3 == M7 && var5 == ISLA && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E0 && var3 == M7 && var5 == ITAL && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E0 && var3 == M7 && var5 == NORV && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E0 && var3 == M7 && var5 == PORT && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E0 && var3 == M7 && var5 == SUED && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E0 && var3 == M7 && var5 == SUIS && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E0 && var3 == M7 && var5 == YOUG && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E0 && var3 == M7 && var5 == MAGH && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E0 && var3 == M7 && var5 == TURQ && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E0 && var3 == M7 && var5 == ARGE && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E0 && var3 == M7 && var5 == BRES && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E0 && var3 == M7 && var5 == CHIL && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E0 && var3 == M7 && var5 == COLO && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E0 && var3 == M7 && var5 == AFSU && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E0 && var3 == M7 && var5 == MARO && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E0 && var3 == M7 && var5 == ISRA && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E0 && var3 == M7 && var5 == HONG && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E0 && var3 == M7 && var5 == TCHE && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E0 && var3 == M7 && var5 == POLO && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E0 && var3 == M7 && var5 == SLVQ && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E0 && var3 == M7 && var5 == JAPO && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E0 && var3 == M7 && var5 == TAIW && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E0 && var3 == M7 && var5 == AUST && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E0 && var3 == M7 && var5 == URUG && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E0 && var3 == M7 && var5 == DAIB && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E0 && var3 == M7 && var5 == DAIC && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E0 && var3 == M7 && var5 == DAID && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E0 && var3 == M7 && var5 == DAIF && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E0 && var3 == M7 && var5 == EUOR && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E0 && var3 == M7 && var5 == CETI && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E0 && var3 == M8 && var5 == FRAN && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == M8 && var5 == FRAN && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == M8 && var5 == DOTO && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == M8 && var5 == DOTO && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == M8 && var5 == ALLE && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == M8 && var5 == ALLE && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == M8 && var5 == AUTR && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == M8 && var5 == AUTR && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == M8 && var5 == BELG && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == M8 && var5 == BELG && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == M8 && var5 == DANE && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == M8 && var5 == DANE && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == M8 && var5 == ESPA && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == M8 && var5 == ESPA && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == M8 && var5 == FINL && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == M8 && var5 == FINL && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == M8 && var5 == GRBR && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == M8 && var5 == GRBR && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == M8 && var5 == GREC && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == M8 && var5 == GREC && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == M8 && var5 == HOLL && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == M8 && var5 == HOLL && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == M8 && var5 == IRLA && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == M8 && var5 == IRLA && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == M8 && var5 == ISLA && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == M8 && var5 == ISLA && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == M8 && var5 == ITAL && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == M8 && var5 == ITAL && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == M8 && var5 == NORV && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == M8 && var5 == NORV && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == M8 && var5 == PORT && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == M8 && var5 == PORT && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == M8 && var5 == SUED && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == M8 && var5 == SUED && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == M8 && var5 == SUIS && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == M8 && var5 == SUIS && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == M8 && var5 == YOUG && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == M8 && var5 == YOUG && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == M8 && var5 == MAGH && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == M8 && var5 == TURQ && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == M8 && var5 == TURQ && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == M8 && var5 == ARGE && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == M8 && var5 == ARGE && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == M8 && var5 == BRES && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == M8 && var5 == BRES && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == M8 && var5 == CHIL && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == M8 && var5 == CHIL && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == M8 && var5 == COLO && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == M8 && var5 == COLO && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == M8 && var5 == AFSU && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == M8 && var5 == AFSU && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == M8 && var5 == MARO && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == M8 && var5 == ISRA && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == M8 && var5 == ISRA && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == M8 && var5 == HONG && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == M8 && var5 == HONG && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == M8 && var5 == TCHE && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == M8 && var5 == TCHE && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == M8 && var5 == POLO && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == M8 && var5 == POLO && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == M8 && var5 == SLVQ && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == M8 && var5 == SLVQ && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == M8 && var5 == JAPO && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == M8 && var5 == JAPO && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == M8 && var5 == TAIW && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == M8 && var5 == TAIW && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == M8 && var5 == AUST && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == M8 && var5 == AUST && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == M8 && var5 == URUG && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == M8 && var5 == URUG && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == M8 && var5 == DAIB && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == M8 && var5 == DAIC && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == M8 && var5 == DAIC && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == M8 && var5 == DAID && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == M8 && var5 == DAID && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == M8 && var5 == DAIF && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == M8 && var5 == DAIF && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == M8 && var5 == EUOR && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == M8 && var5 == EUOR && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == M8 && var5 == CETI && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == M9 && var5 == FRAN && var11 == SSABS && var94 == EU93 ) || ( var2 == E0 && var3 == M9 && var5 == FRAN && var11 == ABS && var94 == EU93 ) || ( var2 == E0 && var3 == M9 && var5 == DOTO && var11 == SSABS && var94 == EU93 ) || ( var2 == E0 && var3 == M9 && var5 == DOTO && var11 == ABS && var94 == EU93 ) || ( var2 == E0 && var3 == M9 && var5 == ALLE && var11 == SSABS && var94 == EU93 ) || ( var2 == E0 && var3 == M9 && var5 == ALLE && var11 == ABS && var94 == EU93 ) || ( var2 == E0 && var3 == M9 && var5 == AUTR && var11 == SSABS && var94 == EU93 ) || ( var2 == E0 && var3 == M9 && var5 == AUTR && var11 == ABS && var94 == EU93 ) || ( var2 == E0 && var3 == M9 && var5 == BELG && var11 == SSABS && var94 == EU93 ) || ( var2 == E0 && var3 == M9 && var5 == BELG && var11 == ABS && var94 == EU93 ) || ( var2 == E0 && var3 == M9 && var5 == DANE && var11 == SSABS && var94 == EU93 ) || ( var2 == E0 && var3 == M9 && var5 == DANE && var11 == ABS && var94 == EU93 ) || ( var2 == E0 && var3 == M9 && var5 == ESPA && var11 == SSABS && var94 == EU93 ) || ( var2 == E0 && var3 == M9 && var5 == ESPA && var11 == ABS && var94 == EU93 ) || ( var2 == E0 && var3 == M9 && var5 == FINL && var11 == SSABS && var94 == EU93 ) || ( var2 == E0 && var3 == M9 && var5 == FINL && var11 == ABS && var94 == EU93 ) || ( var2 == E0 && var3 == M9 && var5 == GRBR && var11 == SSABS && var94 == EU93 ) || ( var2 == E0 && var3 == M9 && var5 == GRBR && var11 == ABS && var94 == EU93 ) || ( var2 == E0 && var3 == M9 && var5 == GREC && var11 == SSABS && var94 == EU93 ) || ( var2 == E0 && var3 == M9 && var5 == GREC && var11 == ABS && var94 == EU93 ) || ( var2 == E0 && var3 == M9 && var5 == HOLL && var11 == SSABS && var94 == EU93 ) || ( var2 == E0 && var3 == M9 && var5 == HOLL && var11 == ABS && var94 == EU93 ) || ( var2 == E0 && var3 == M9 && var5 == IRLA && var11 == SSABS && var94 == EU93 ) || ( var2 == E0 && var3 == M9 && var5 == IRLA && var11 == ABS && var94 == EU93 ) || ( var2 == E0 && var3 == M9 && var5 == ISLA && var11 == SSABS && var94 == EU93 ) || ( var2 == E0 && var3 == M9 && var5 == ISLA && var11 == ABS && var94 == EU93 ) || ( var2 == E0 && var3 == M9 && var5 == ITAL && var11 == SSABS && var94 == EU93 ) || ( var2 == E0 && var3 == M9 && var5 == ITAL && var11 == ABS && var94 == EU93 ) || ( var2 == E0 && var3 == M9 && var5 == NORV && var11 == SSABS && var94 == EU93 ) || ( var2 == E0 && var3 == M9 && var5 == NORV && var11 == ABS && var94 == EU93 ) || ( var2 == E0 && var3 == M9 && var5 == PORT && var11 == SSABS && var94 == EU93 ) || ( var2 == E0 && var3 == M9 && var5 == PORT && var11 == ABS && var94 == EU93 ) || ( var2 == E0 && var3 == M9 && var5 == SUED && var11 == SSABS && var94 == EU93 ) || ( var2 == E0 && var3 == M9 && var5 == SUED && var11 == ABS && var94 == EU93 ) || ( var2 == E0 && var3 == M9 && var5 == SUIS && var11 == SSABS && var94 == EU93 ) || ( var2 == E0 && var3 == M9 && var5 == SUIS && var11 == ABS && var94 == EU93 ) || ( var2 == E0 && var3 == M9 && var5 == YOUG && var11 == SSABS && var94 == EU93 ) || ( var2 == E0 && var3 == M9 && var5 == YOUG && var11 == ABS && var94 == EU93 ) || ( var2 == E0 && var3 == M9 && var5 == MAGH && var11 == SSABS && var94 == EU93 ) || ( var2 == E0 && var3 == M9 && var5 == TURQ && var11 == SSABS && var94 == EU93 ) || ( var2 == E0 && var3 == M9 && var5 == TURQ && var11 == ABS && var94 == EU93 ) || ( var2 == E0 && var3 == M9 && var5 == ARGE && var11 == SSABS && var94 == EU93 ) || ( var2 == E0 && var3 == M9 && var5 == ARGE && var11 == ABS && var94 == EU93 ) || ( var2 == E0 && var3 == M9 && var5 == BRES && var11 == SSABS && var94 == EU93 ) || ( var2 == E0 && var3 == M9 && var5 == BRES && var11 == ABS && var94 == EU93 ) || ( var2 == E0 && var3 == M9 && var5 == CHIL && var11 == SSABS && var94 == EU93 ) || ( var2 == E0 && var3 == M9 && var5 == CHIL && var11 == ABS && var94 == EU93 ) || ( var2 == E0 && var3 == M9 && var5 == COLO && var11 == SSABS && var94 == EU93 ) || ( var2 == E0 && var3 == M9 && var5 == COLO && var11 == ABS && var94 == EU93 ) || ( var2 == E0 && var3 == M9 && var5 == AFSU && var11 == SSABS && var94 == EU93 ) || ( var2 == E0 && var3 == M9 && var5 == AFSU && var11 == ABS && var94 == EU93 ) || ( var2 == E0 && var3 == M9 && var5 == MARO && var11 == SSABS && var94 == EU93 ) || ( var2 == E0 && var3 == M9 && var5 == ISRA && var11 == SSABS && var94 == EU93 ) || ( var2 == E0 && var3 == M9 && var5 == ISRA && var11 == ABS && var94 == EU93 ) || ( var2 == E0 && var3 == M9 && var5 == HONG && var11 == SSABS && var94 == EU93 ) || ( var2 == E0 && var3 == M9 && var5 == HONG && var11 == ABS && var94 == EU93 ) || ( var2 == E0 && var3 == M9 && var5 == TCHE && var11 == SSABS && var94 == EU93 ) || ( var2 == E0 && var3 == M9 && var5 == TCHE && var11 == ABS && var94 == EU93 ) || ( var2 == E0 && var3 == M9 && var5 == POLO && var11 == SSABS && var94 == EU93 ) || ( var2 == E0 && var3 == M9 && var5 == POLO && var11 == ABS && var94 == EU93 ) || ( var2 == E0 && var3 == M9 && var5 == SLVQ && var11 == SSABS && var94 == EU93 ) || ( var2 == E0 && var3 == M9 && var5 == SLVQ && var11 == ABS && var94 == EU93 ) || ( var2 == E0 && var3 == M9 && var5 == JAPO && var11 == SSABS && var94 == EU93 ) || ( var2 == E0 && var3 == M9 && var5 == JAPO && var11 == ABS && var94 == EU93 ) || ( var2 == E0 && var3 == M9 && var5 == TAIW && var11 == SSABS && var94 == EU93 ) || ( var2 == E0 && var3 == M9 && var5 == TAIW && var11 == ABS && var94 == EU93 ) || ( var2 == E0 && var3 == M9 && var5 == AUST && var11 == SSABS && var94 == EU93 ) || ( var2 == E0 && var3 == M9 && var5 == AUST && var11 == ABS && var94 == EU93 ) || ( var2 == E0 && var3 == M9 && var5 == URUG && var11 == SSABS && var94 == EU93 ) || ( var2 == E0 && var3 == M9 && var5 == URUG && var11 == ABS && var94 == EU93 ) || ( var2 == E0 && var3 == M9 && var5 == DAIB && var11 == SSABS && var94 == EU93 ) || ( var2 == E0 && var3 == M9 && var5 == DAIC && var11 == SSABS && var94 == EU93 ) || ( var2 == E0 && var3 == M9 && var5 == DAIC && var11 == ABS && var94 == EU93 ) || ( var2 == E0 && var3 == M9 && var5 == DAID && var11 == SSABS && var94 == EU93 ) || ( var2 == E0 && var3 == M9 && var5 == DAID && var11 == ABS && var94 == EU93 ) || ( var2 == E0 && var3 == M9 && var5 == DAIF && var11 == SSABS && var94 == EU93 ) || ( var2 == E0 && var3 == M9 && var5 == DAIF && var11 == ABS && var94 == EU93 ) || ( var2 == E0 && var3 == M9 && var5 == EUOR && var11 == SSABS && var94 == EU93 ) || ( var2 == E0 && var3 == M9 && var5 == EUOR && var11 == ABS && var94 == EU93 ) || ( var2 == E0 && var3 == M9 && var5 == CETI && var11 == SSABS && var94 == EU93 ) || ( var2 == E0 && var3 == MA && var5 == FRAN && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MA && var5 == FRAN && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MA && var5 == DOTO && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MA && var5 == DOTO && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MA && var5 == ALLE && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MA && var5 == ALLE && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MA && var5 == AUTR && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MA && var5 == AUTR && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MA && var5 == BELG && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MA && var5 == BELG && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MA && var5 == DANE && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MA && var5 == DANE && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MA && var5 == ESPA && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MA && var5 == ESPA && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MA && var5 == FINL && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MA && var5 == FINL && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MA && var5 == GRBR && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MA && var5 == GRBR && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MA && var5 == GREC && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MA && var5 == GREC && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MA && var5 == HOLL && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MA && var5 == HOLL && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MA && var5 == IRLA && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MA && var5 == IRLA && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MA && var5 == ISLA && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MA && var5 == ISLA && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MA && var5 == ITAL && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MA && var5 == ITAL && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MA && var5 == NORV && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MA && var5 == NORV && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MA && var5 == PORT && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MA && var5 == PORT && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MA && var5 == SUED && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MA && var5 == SUED && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MA && var5 == SUIS && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MA && var5 == SUIS && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MA && var5 == YOUG && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MA && var5 == YOUG && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MA && var5 == MAGH && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MA && var5 == TURQ && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MA && var5 == TURQ && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MA && var5 == ARGE && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MA && var5 == ARGE && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MA && var5 == BRES && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MA && var5 == BRES && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MA && var5 == CHIL && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MA && var5 == CHIL && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MA && var5 == COLO && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MA && var5 == COLO && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MA && var5 == AFSU && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MA && var5 == AFSU && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MA && var5 == MARO && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MA && var5 == ISRA && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MA && var5 == ISRA && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MA && var5 == HONG && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MA && var5 == HONG && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MA && var5 == TCHE && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MA && var5 == TCHE && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MA && var5 == POLO && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MA && var5 == POLO && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MA && var5 == SLVQ && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MA && var5 == SLVQ && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MA && var5 == JAPO && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MA && var5 == JAPO && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MA && var5 == TAIW && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MA && var5 == TAIW && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MA && var5 == AUST && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MA && var5 == AUST && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MA && var5 == URUG && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MA && var5 == URUG && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MA && var5 == DAIB && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MA && var5 == DAIC && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MA && var5 == DAIC && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MA && var5 == DAID && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MA && var5 == DAID && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MA && var5 == DAIF && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MA && var5 == DAIF && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MA && var5 == EUOR && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MA && var5 == EUOR && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MA && var5 == CETI && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MB && var5 == FRAN && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == MB && var5 == FRAN && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MB && var5 == DOTO && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == MB && var5 == DOTO && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MB && var5 == ALLE && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == MB && var5 == ALLE && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MB && var5 == AUTR && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == MB && var5 == AUTR && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MB && var5 == BELG && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == MB && var5 == BELG && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MB && var5 == DANE && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == MB && var5 == DANE && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MB && var5 == ESPA && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == MB && var5 == ESPA && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MB && var5 == FINL && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == MB && var5 == FINL && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MB && var5 == GRBR && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == MB && var5 == GRBR && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MB && var5 == GREC && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == MB && var5 == GREC && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MB && var5 == HOLL && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == MB && var5 == HOLL && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MB && var5 == IRLA && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == MB && var5 == IRLA && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MB && var5 == ISLA && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == MB && var5 == ISLA && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MB && var5 == ITAL && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == MB && var5 == ITAL && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MB && var5 == NORV && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == MB && var5 == NORV && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MB && var5 == PORT && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == MB && var5 == PORT && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MB && var5 == SUED && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == MB && var5 == SUED && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MB && var5 == SUIS && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == MB && var5 == SUIS && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MB && var5 == YOUG && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == MB && var5 == YOUG && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MB && var5 == MAGH && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == MB && var5 == TURQ && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == MB && var5 == TURQ && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MB && var5 == ARGE && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == MB && var5 == ARGE && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MB && var5 == BRES && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == MB && var5 == BRES && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MB && var5 == CHIL && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == MB && var5 == CHIL && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MB && var5 == COLO && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == MB && var5 == COLO && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MB && var5 == AFSU && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == MB && var5 == AFSU && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MB && var5 == MARO && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == MB && var5 == ISRA && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == MB && var5 == ISRA && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MB && var5 == HONG && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == MB && var5 == HONG && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MB && var5 == TCHE && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == MB && var5 == TCHE && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MB && var5 == POLO && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == MB && var5 == POLO && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MB && var5 == SLVQ && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == MB && var5 == SLVQ && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MB && var5 == JAPO && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == MB && var5 == JAPO && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MB && var5 == TAIW && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == MB && var5 == TAIW && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MB && var5 == AUST && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == MB && var5 == AUST && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MB && var5 == URUG && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == MB && var5 == URUG && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MB && var5 == DAIB && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == MB && var5 == DAIC && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == MB && var5 == DAIC && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MB && var5 == DAID && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == MB && var5 == DAID && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MB && var5 == DAIF && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == MB && var5 == DAIF && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MB && var5 == EUOR && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == MB && var5 == EUOR && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MB && var5 == CETI && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == MC && var5 == FRAN && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MC && var5 == DOTO && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MC && var5 == ALLE && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MC && var5 == AUTR && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MC && var5 == BELG && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MC && var5 == DANE && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MC && var5 == ESPA && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MC && var5 == FINL && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MC && var5 == GRBR && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MC && var5 == GREC && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MC && var5 == HOLL && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MC && var5 == IRLA && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MC && var5 == ISLA && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MC && var5 == ITAL && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MC && var5 == NORV && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MC && var5 == PORT && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MC && var5 == SUED && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MC && var5 == SUIS && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MC && var5 == YOUG && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MC && var5 == MAGH && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == MC && var5 == TURQ && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MC && var5 == ARGE && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MC && var5 == BRES && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MC && var5 == CHIL && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MC && var5 == COLO && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MC && var5 == AFSU && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MC && var5 == MARO && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == MC && var5 == ISRA && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MC && var5 == HONG && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MC && var5 == TCHE && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MC && var5 == POLO && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MC && var5 == SLVQ && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MC && var5 == JAPO && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MC && var5 == TAIW && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MC && var5 == AUST && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MC && var5 == URUG && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MC && var5 == DAIB && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == MC && var5 == DAIC && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MC && var5 == DAID && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MC && var5 == DAIF && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MC && var5 == EUOR && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MC && var5 == CETI && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == MD && var5 == FRAN && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == MD && var5 == FRAN && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MD && var5 == DOTO && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == MD && var5 == DOTO && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MD && var5 == ALLE && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == MD && var5 == ALLE && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MD && var5 == AUTR && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == MD && var5 == AUTR && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MD && var5 == BELG && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == MD && var5 == BELG && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MD && var5 == DANE && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == MD && var5 == DANE && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MD && var5 == ESPA && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == MD && var5 == ESPA && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MD && var5 == FINL && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == MD && var5 == FINL && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MD && var5 == GRBR && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == MD && var5 == GRBR && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MD && var5 == GREC && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == MD && var5 == GREC && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MD && var5 == HOLL && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == MD && var5 == HOLL && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MD && var5 == IRLA && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == MD && var5 == IRLA && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MD && var5 == ISLA && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == MD && var5 == ISLA && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MD && var5 == ITAL && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == MD && var5 == ITAL && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MD && var5 == NORV && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == MD && var5 == NORV && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MD && var5 == PORT && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == MD && var5 == PORT && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MD && var5 == SUED && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == MD && var5 == SUED && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MD && var5 == SUIS && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == MD && var5 == SUIS && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MD && var5 == YOUG && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == MD && var5 == YOUG && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MD && var5 == MAGH && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == MD && var5 == TURQ && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == MD && var5 == TURQ && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MD && var5 == ARGE && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == MD && var5 == ARGE && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MD && var5 == BRES && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == MD && var5 == BRES && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MD && var5 == CHIL && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == MD && var5 == CHIL && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MD && var5 == COLO && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == MD && var5 == COLO && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MD && var5 == AFSU && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == MD && var5 == AFSU && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MD && var5 == MARO && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == MD && var5 == ISRA && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == MD && var5 == ISRA && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MD && var5 == HONG && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == MD && var5 == HONG && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MD && var5 == TCHE && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == MD && var5 == TCHE && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MD && var5 == POLO && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == MD && var5 == POLO && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MD && var5 == SLVQ && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == MD && var5 == SLVQ && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MD && var5 == JAPO && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == MD && var5 == JAPO && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MD && var5 == TAIW && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == MD && var5 == TAIW && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MD && var5 == AUST && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == MD && var5 == AUST && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MD && var5 == URUG && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == MD && var5 == URUG && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MD && var5 == DAIB && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == MD && var5 == DAIC && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == MD && var5 == DAIC && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MD && var5 == DAID && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == MD && var5 == DAID && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MD && var5 == DAIF && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == MD && var5 == DAIF && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MD && var5 == EUOR && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == MD && var5 == EUOR && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MD && var5 == CETI && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == ME && var5 == FRAN && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == ME && var5 == FRAN && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == ME && var5 == DOTO && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == ME && var5 == DOTO && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == ME && var5 == ALLE && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == ME && var5 == ALLE && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == ME && var5 == AUTR && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == ME && var5 == AUTR && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == ME && var5 == BELG && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == ME && var5 == BELG && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == ME && var5 == DANE && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == ME && var5 == DANE && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == ME && var5 == ESPA && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == ME && var5 == ESPA && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == ME && var5 == FINL && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == ME && var5 == FINL && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == ME && var5 == GRBR && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == ME && var5 == GRBR && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == ME && var5 == GREC && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == ME && var5 == GREC && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == ME && var5 == HOLL && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == ME && var5 == HOLL && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == ME && var5 == IRLA && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == ME && var5 == IRLA && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == ME && var5 == ISLA && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == ME && var5 == ISLA && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == ME && var5 == ITAL && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == ME && var5 == ITAL && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == ME && var5 == NORV && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == ME && var5 == NORV && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == ME && var5 == PORT && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == ME && var5 == PORT && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == ME && var5 == SUED && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == ME && var5 == SUED && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == ME && var5 == SUIS && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == ME && var5 == SUIS && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == ME && var5 == YOUG && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == ME && var5 == YOUG && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == ME && var5 == MAGH && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == ME && var5 == TURQ && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == ME && var5 == TURQ && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == ME && var5 == ARGE && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == ME && var5 == ARGE && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == ME && var5 == BRES && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == ME && var5 == BRES && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == ME && var5 == CHIL && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == ME && var5 == CHIL && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == ME && var5 == COLO && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == ME && var5 == COLO && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == ME && var5 == AFSU && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == ME && var5 == AFSU && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == ME && var5 == MARO && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == ME && var5 == ISRA && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == ME && var5 == ISRA && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == ME && var5 == HONG && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == ME && var5 == HONG && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == ME && var5 == TCHE && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == ME && var5 == TCHE && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == ME && var5 == POLO && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == ME && var5 == POLO && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == ME && var5 == SLVQ && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == ME && var5 == SLVQ && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == ME && var5 == JAPO && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == ME && var5 == JAPO && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == ME && var5 == TAIW && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == ME && var5 == TAIW && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == ME && var5 == AUST && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == ME && var5 == AUST && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == ME && var5 == URUG && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == ME && var5 == URUG && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == ME && var5 == DAIB && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == ME && var5 == DAIC && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == ME && var5 == DAIC && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == ME && var5 == DAID && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == ME && var5 == DAID && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == ME && var5 == DAIF && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == ME && var5 == DAIF && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == ME && var5 == EUOR && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == ME && var5 == EUOR && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == ME && var5 == CETI && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MF && var5 == FRAN && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MF && var5 == FRAN && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MF && var5 == DOTO && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MF && var5 == DOTO && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MF && var5 == ALLE && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MF && var5 == ALLE && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MF && var5 == AUTR && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MF && var5 == AUTR && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MF && var5 == BELG && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MF && var5 == BELG && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MF && var5 == DANE && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MF && var5 == DANE && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MF && var5 == ESPA && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MF && var5 == ESPA && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MF && var5 == FINL && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MF && var5 == FINL && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MF && var5 == GRBR && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MF && var5 == GRBR && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MF && var5 == GREC && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MF && var5 == GREC && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MF && var5 == HOLL && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MF && var5 == HOLL && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MF && var5 == IRLA && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MF && var5 == IRLA && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MF && var5 == ISLA && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MF && var5 == ISLA && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MF && var5 == ITAL && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MF && var5 == ITAL && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MF && var5 == NORV && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MF && var5 == NORV && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MF && var5 == PORT && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MF && var5 == PORT && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MF && var5 == SUED && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MF && var5 == SUED && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MF && var5 == SUIS && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MF && var5 == SUIS && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MF && var5 == YOUG && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MF && var5 == YOUG && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MF && var5 == MAGH && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MF && var5 == TURQ && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MF && var5 == TURQ && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MF && var5 == ARGE && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MF && var5 == ARGE && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MF && var5 == BRES && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MF && var5 == BRES && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MF && var5 == CHIL && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MF && var5 == CHIL && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MF && var5 == COLO && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MF && var5 == COLO && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MF && var5 == AFSU && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MF && var5 == AFSU && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MF && var5 == MARO && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MF && var5 == ISRA && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MF && var5 == ISRA && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MF && var5 == HONG && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MF && var5 == HONG && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MF && var5 == TCHE && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MF && var5 == TCHE && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MF && var5 == POLO && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MF && var5 == POLO && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MF && var5 == SLVQ && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MF && var5 == SLVQ && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MF && var5 == JAPO && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MF && var5 == JAPO && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MF && var5 == TAIW && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MF && var5 == TAIW && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MF && var5 == AUST && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MF && var5 == AUST && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MF && var5 == URUG && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MF && var5 == URUG && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MF && var5 == DAIB && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MF && var5 == DAIC && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MF && var5 == DAIC && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MF && var5 == DAID && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MF && var5 == DAID && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MF && var5 == DAIF && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MF && var5 == DAIF && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MF && var5 == EUOR && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MF && var5 == EUOR && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MF && var5 == CETI && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MJ && var5 == FRAN && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MJ && var5 == FRAN && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MJ && var5 == DOTO && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MJ && var5 == DOTO && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MJ && var5 == ALLE && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MJ && var5 == ALLE && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MJ && var5 == AUTR && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MJ && var5 == AUTR && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MJ && var5 == BELG && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MJ && var5 == BELG && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MJ && var5 == DANE && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MJ && var5 == DANE && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MJ && var5 == ESPA && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MJ && var5 == ESPA && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MJ && var5 == FINL && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MJ && var5 == FINL && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MJ && var5 == GRBR && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MJ && var5 == GRBR && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MJ && var5 == GREC && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MJ && var5 == GREC && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MJ && var5 == HOLL && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MJ && var5 == HOLL && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MJ && var5 == IRLA && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MJ && var5 == IRLA && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MJ && var5 == ISLA && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MJ && var5 == ISLA && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MJ && var5 == ITAL && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MJ && var5 == ITAL && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MJ && var5 == NORV && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MJ && var5 == NORV && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MJ && var5 == PORT && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MJ && var5 == PORT && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MJ && var5 == SUED && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MJ && var5 == SUED && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MJ && var5 == SUIS && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MJ && var5 == SUIS && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MJ && var5 == YOUG && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MJ && var5 == YOUG && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MJ && var5 == MAGH && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MJ && var5 == TURQ && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MJ && var5 == TURQ && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MJ && var5 == ARGE && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MJ && var5 == ARGE && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MJ && var5 == BRES && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MJ && var5 == BRES && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MJ && var5 == CHIL && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MJ && var5 == CHIL && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MJ && var5 == COLO && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MJ && var5 == COLO && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MJ && var5 == AFSU && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MJ && var5 == AFSU && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MJ && var5 == MARO && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MJ && var5 == ISRA && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MJ && var5 == ISRA && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MJ && var5 == HONG && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MJ && var5 == HONG && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MJ && var5 == TCHE && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MJ && var5 == TCHE && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MJ && var5 == POLO && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MJ && var5 == POLO && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MJ && var5 == SLVQ && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MJ && var5 == SLVQ && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MJ && var5 == JAPO && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MJ && var5 == JAPO && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MJ && var5 == TAIW && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MJ && var5 == TAIW && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MJ && var5 == AUST && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MJ && var5 == AUST && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MJ && var5 == URUG && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MJ && var5 == URUG && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MJ && var5 == DAIB && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MJ && var5 == DAIC && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MJ && var5 == DAIC && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MJ && var5 == DAID && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MJ && var5 == DAID && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MJ && var5 == DAIF && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MJ && var5 == DAIF && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MJ && var5 == EUOR && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MJ && var5 == EUOR && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MJ && var5 == CETI && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MK && var5 == FRAN && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MK && var5 == FRAN && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MK && var5 == DOTO && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MK && var5 == DOTO && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MK && var5 == ALLE && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MK && var5 == ALLE && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MK && var5 == AUTR && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MK && var5 == AUTR && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MK && var5 == BELG && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MK && var5 == BELG && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MK && var5 == DANE && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MK && var5 == DANE && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MK && var5 == ESPA && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MK && var5 == ESPA && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MK && var5 == FINL && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MK && var5 == FINL && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MK && var5 == GRBR && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MK && var5 == GRBR && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MK && var5 == GREC && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MK && var5 == GREC && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MK && var5 == HOLL && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MK && var5 == HOLL && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MK && var5 == IRLA && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MK && var5 == IRLA && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MK && var5 == ISLA && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MK && var5 == ISLA && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MK && var5 == ITAL && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MK && var5 == ITAL && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MK && var5 == NORV && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MK && var5 == NORV && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MK && var5 == PORT && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MK && var5 == PORT && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MK && var5 == SUED && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MK && var5 == SUED && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MK && var5 == SUIS && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MK && var5 == SUIS && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MK && var5 == YOUG && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MK && var5 == YOUG && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MK && var5 == MAGH && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MK && var5 == TURQ && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MK && var5 == TURQ && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MK && var5 == ARGE && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MK && var5 == ARGE && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MK && var5 == BRES && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MK && var5 == BRES && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MK && var5 == CHIL && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MK && var5 == CHIL && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MK && var5 == COLO && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MK && var5 == COLO && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MK && var5 == AFSU && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MK && var5 == AFSU && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MK && var5 == MARO && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MK && var5 == ISRA && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MK && var5 == ISRA && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MK && var5 == HONG && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MK && var5 == HONG && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MK && var5 == TCHE && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MK && var5 == TCHE && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MK && var5 == POLO && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MK && var5 == POLO && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MK && var5 == SLVQ && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MK && var5 == SLVQ && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MK && var5 == JAPO && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MK && var5 == JAPO && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MK && var5 == TAIW && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MK && var5 == TAIW && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MK && var5 == AUST && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MK && var5 == AUST && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MK && var5 == URUG && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MK && var5 == URUG && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MK && var5 == DAIB && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MK && var5 == DAIC && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MK && var5 == DAIC && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MK && var5 == DAID && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MK && var5 == DAID && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MK && var5 == DAIF && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MK && var5 == DAIF && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MK && var5 == EUOR && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MK && var5 == EUOR && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MK && var5 == CETI && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == ML && var5 == FRAN && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == ML && var5 == FRAN && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == ML && var5 == DOTO && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == ML && var5 == DOTO && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == ML && var5 == ALLE && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == ML && var5 == ALLE && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == ML && var5 == AUTR && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == ML && var5 == AUTR && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == ML && var5 == BELG && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == ML && var5 == BELG && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == ML && var5 == DANE && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == ML && var5 == DANE && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == ML && var5 == ESPA && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == ML && var5 == ESPA && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == ML && var5 == FINL && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == ML && var5 == FINL && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == ML && var5 == GRBR && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == ML && var5 == GRBR && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == ML && var5 == GREC && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == ML && var5 == GREC && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == ML && var5 == HOLL && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == ML && var5 == HOLL && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == ML && var5 == IRLA && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == ML && var5 == IRLA && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == ML && var5 == ISLA && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == ML && var5 == ISLA && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == ML && var5 == ITAL && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == ML && var5 == ITAL && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == ML && var5 == NORV && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == ML && var5 == NORV && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == ML && var5 == PORT && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == ML && var5 == PORT && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == ML && var5 == SUED && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == ML && var5 == SUED && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == ML && var5 == SUIS && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == ML && var5 == SUIS && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == ML && var5 == YOUG && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == ML && var5 == YOUG && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == ML && var5 == MAGH && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == ML && var5 == TURQ && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == ML && var5 == TURQ && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == ML && var5 == ARGE && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == ML && var5 == ARGE && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == ML && var5 == BRES && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == ML && var5 == BRES && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == ML && var5 == CHIL && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == ML && var5 == CHIL && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == ML && var5 == COLO && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == ML && var5 == COLO && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == ML && var5 == AFSU && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == ML && var5 == AFSU && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == ML && var5 == MARO && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == ML && var5 == ISRA && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == ML && var5 == ISRA && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == ML && var5 == HONG && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == ML && var5 == HONG && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == ML && var5 == TCHE && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == ML && var5 == TCHE && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == ML && var5 == POLO && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == ML && var5 == POLO && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == ML && var5 == SLVQ && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == ML && var5 == SLVQ && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == ML && var5 == JAPO && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == ML && var5 == JAPO && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == ML && var5 == TAIW && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == ML && var5 == TAIW && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == ML && var5 == AUST && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == ML && var5 == AUST && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == ML && var5 == URUG && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == ML && var5 == URUG && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == ML && var5 == DAIB && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == ML && var5 == DAIC && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == ML && var5 == DAIC && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == ML && var5 == DAID && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == ML && var5 == DAID && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == ML && var5 == DAIF && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == ML && var5 == DAIF && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == ML && var5 == EUOR && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == ML && var5 == EUOR && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == ML && var5 == CETI && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MM && var5 == FRAN && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == MM && var5 == FRAN && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MM && var5 == DOTO && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == MM && var5 == DOTO && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MM && var5 == ALLE && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == MM && var5 == ALLE && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MM && var5 == AUTR && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == MM && var5 == AUTR && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MM && var5 == BELG && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == MM && var5 == BELG && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MM && var5 == DANE && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == MM && var5 == DANE && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MM && var5 == ESPA && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == MM && var5 == ESPA && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MM && var5 == FINL && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == MM && var5 == FINL && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MM && var5 == GRBR && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == MM && var5 == GRBR && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MM && var5 == GREC && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == MM && var5 == GREC && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MM && var5 == HOLL && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == MM && var5 == HOLL && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MM && var5 == IRLA && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == MM && var5 == IRLA && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MM && var5 == ISLA && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == MM && var5 == ISLA && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MM && var5 == ITAL && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == MM && var5 == ITAL && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MM && var5 == NORV && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == MM && var5 == NORV && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MM && var5 == PORT && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == MM && var5 == PORT && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MM && var5 == SUED && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == MM && var5 == SUED && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MM && var5 == SUIS && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == MM && var5 == SUIS && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MM && var5 == YOUG && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == MM && var5 == YOUG && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MM && var5 == MAGH && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == MM && var5 == TURQ && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == MM && var5 == TURQ && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MM && var5 == ARGE && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == MM && var5 == ARGE && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MM && var5 == BRES && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == MM && var5 == BRES && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MM && var5 == CHIL && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == MM && var5 == CHIL && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MM && var5 == COLO && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == MM && var5 == COLO && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MM && var5 == AFSU && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == MM && var5 == AFSU && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MM && var5 == MARO && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == MM && var5 == ISRA && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == MM && var5 == ISRA && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MM && var5 == HONG && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == MM && var5 == HONG && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MM && var5 == TCHE && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == MM && var5 == TCHE && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MM && var5 == POLO && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == MM && var5 == POLO && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MM && var5 == SLVQ && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == MM && var5 == SLVQ && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MM && var5 == JAPO && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == MM && var5 == JAPO && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MM && var5 == TAIW && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == MM && var5 == TAIW && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MM && var5 == AUST && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == MM && var5 == AUST && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MM && var5 == URUG && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == MM && var5 == URUG && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MM && var5 == DAIB && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == MM && var5 == DAIC && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == MM && var5 == DAIC && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MM && var5 == DAID && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == MM && var5 == DAID && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MM && var5 == DAIF && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == MM && var5 == DAIF && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MM && var5 == EUOR && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == MM && var5 == EUOR && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == MM && var5 == CETI && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == MS && var5 == FRAN && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E0 && var3 == MS && var5 == DOTO && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E0 && var3 == MS && var5 == ALLE && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E0 && var3 == MS && var5 == AUTR && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E0 && var3 == MS && var5 == BELG && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E0 && var3 == MS && var5 == DANE && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E0 && var3 == MS && var5 == ESPA && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E0 && var3 == MS && var5 == FINL && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E0 && var3 == MS && var5 == GRBR && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E0 && var3 == MS && var5 == GREC && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E0 && var3 == MS && var5 == HOLL && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E0 && var3 == MS && var5 == IRLA && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E0 && var3 == MS && var5 == ISLA && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E0 && var3 == MS && var5 == ITAL && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E0 && var3 == MS && var5 == NORV && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E0 && var3 == MS && var5 == PORT && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E0 && var3 == MS && var5 == SUED && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E0 && var3 == MS && var5 == SUIS && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E0 && var3 == MS && var5 == YOUG && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E0 && var3 == MS && var5 == MAGH && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E0 && var3 == MS && var5 == TURQ && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E0 && var3 == MS && var5 == ARGE && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E0 && var3 == MS && var5 == BRES && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E0 && var3 == MS && var5 == CHIL && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E0 && var3 == MS && var5 == COLO && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E0 && var3 == MS && var5 == AFSU && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E0 && var3 == MS && var5 == MARO && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E0 && var3 == MS && var5 == ISRA && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E0 && var3 == MS && var5 == HONG && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E0 && var3 == MS && var5 == TCHE && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E0 && var3 == MS && var5 == POLO && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E0 && var3 == MS && var5 == SLVQ && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E0 && var3 == MS && var5 == JAPO && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E0 && var3 == MS && var5 == TAIW && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E0 && var3 == MS && var5 == AUST && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E0 && var3 == MS && var5 == URUG && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E0 && var3 == MS && var5 == DAIB && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E0 && var3 == MS && var5 == DAIC && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E0 && var3 == MS && var5 == DAID && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E0 && var3 == MS && var5 == DAIF && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E0 && var3 == MS && var5 == EUOR && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E0 && var3 == MS && var5 == CETI && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E0 && var3 == MT && var5 == FRAN && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MT && var5 == FRAN && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MT && var5 == DOTO && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MT && var5 == DOTO && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MT && var5 == ALLE && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MT && var5 == ALLE && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MT && var5 == AUTR && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MT && var5 == AUTR && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MT && var5 == BELG && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MT && var5 == BELG && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MT && var5 == DANE && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MT && var5 == DANE && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MT && var5 == ESPA && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MT && var5 == ESPA && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MT && var5 == FINL && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MT && var5 == FINL && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MT && var5 == GRBR && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MT && var5 == GRBR && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MT && var5 == GREC && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MT && var5 == GREC && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MT && var5 == HOLL && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MT && var5 == HOLL && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MT && var5 == IRLA && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MT && var5 == IRLA && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MT && var5 == ISLA && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MT && var5 == ISLA && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MT && var5 == ITAL && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MT && var5 == ITAL && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MT && var5 == NORV && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MT && var5 == NORV && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MT && var5 == PORT && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MT && var5 == PORT && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MT && var5 == SUED && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MT && var5 == SUED && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MT && var5 == SUIS && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MT && var5 == SUIS && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MT && var5 == YOUG && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MT && var5 == YOUG && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MT && var5 == MAGH && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MT && var5 == TURQ && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MT && var5 == TURQ && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MT && var5 == ARGE && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MT && var5 == ARGE && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MT && var5 == BRES && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MT && var5 == BRES && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MT && var5 == CHIL && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MT && var5 == CHIL && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MT && var5 == COLO && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MT && var5 == COLO && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MT && var5 == AFSU && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MT && var5 == AFSU && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MT && var5 == MARO && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MT && var5 == ISRA && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MT && var5 == ISRA && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MT && var5 == HONG && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MT && var5 == HONG && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MT && var5 == TCHE && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MT && var5 == TCHE && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MT && var5 == POLO && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MT && var5 == POLO && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MT && var5 == SLVQ && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MT && var5 == SLVQ && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MT && var5 == JAPO && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MT && var5 == JAPO && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MT && var5 == TAIW && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MT && var5 == TAIW && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MT && var5 == AUST && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MT && var5 == AUST && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MT && var5 == URUG && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MT && var5 == URUG && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MT && var5 == DAIB && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MT && var5 == DAIC && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MT && var5 == DAIC && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MT && var5 == DAID && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MT && var5 == DAID && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MT && var5 == DAIF && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MT && var5 == DAIF && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MT && var5 == EUOR && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MT && var5 == EUOR && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MT && var5 == CETI && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MU && var5 == FRAN && var11 == SSABS && var94 == EU93 ) || ( var2 == E0 && var3 == MU && var5 == DOTO && var11 == SSABS && var94 == EU93 ) || ( var2 == E0 && var3 == MU && var5 == ALLE && var11 == SSABS && var94 == EU93 ) || ( var2 == E0 && var3 == MU && var5 == AUTR && var11 == SSABS && var94 == EU93 ) || ( var2 == E0 && var3 == MU && var5 == BELG && var11 == SSABS && var94 == EU93 ) || ( var2 == E0 && var3 == MU && var5 == DANE && var11 == SSABS && var94 == EU93 ) || ( var2 == E0 && var3 == MU && var5 == ESPA && var11 == SSABS && var94 == EU93 ) || ( var2 == E0 && var3 == MU && var5 == FINL && var11 == SSABS && var94 == EU93 ) || ( var2 == E0 && var3 == MU && var5 == GRBR && var11 == SSABS && var94 == EU93 ) || ( var2 == E0 && var3 == MU && var5 == GREC && var11 == SSABS && var94 == EU93 ) || ( var2 == E0 && var3 == MU && var5 == HOLL && var11 == SSABS && var94 == EU93 ) || ( var2 == E0 && var3 == MU && var5 == IRLA && var11 == SSABS && var94 == EU93 ) || ( var2 == E0 && var3 == MU && var5 == ISLA && var11 == SSABS && var94 == EU93 ) || ( var2 == E0 && var3 == MU && var5 == ITAL && var11 == SSABS && var94 == EU93 ) || ( var2 == E0 && var3 == MU && var5 == NORV && var11 == SSABS && var94 == EU93 ) || ( var2 == E0 && var3 == MU && var5 == PORT && var11 == SSABS && var94 == EU93 ) || ( var2 == E0 && var3 == MU && var5 == SUED && var11 == SSABS && var94 == EU93 ) || ( var2 == E0 && var3 == MU && var5 == SUIS && var11 == SSABS && var94 == EU93 ) || ( var2 == E0 && var3 == MU && var5 == YOUG && var11 == SSABS && var94 == EU93 ) || ( var2 == E0 && var3 == MU && var5 == MAGH && var11 == SSABS && var94 == EU93 ) || ( var2 == E0 && var3 == MU && var5 == TURQ && var11 == SSABS && var94 == EU93 ) || ( var2 == E0 && var3 == MU && var5 == ARGE && var11 == SSABS && var94 == EU93 ) || ( var2 == E0 && var3 == MU && var5 == BRES && var11 == SSABS && var94 == EU93 ) || ( var2 == E0 && var3 == MU && var5 == CHIL && var11 == SSABS && var94 == EU93 ) || ( var2 == E0 && var3 == MU && var5 == COLO && var11 == SSABS && var94 == EU93 ) || ( var2 == E0 && var3 == MU && var5 == AFSU && var11 == SSABS && var94 == EU93 ) || ( var2 == E0 && var3 == MU && var5 == MARO && var11 == SSABS && var94 == EU93 ) || ( var2 == E0 && var3 == MU && var5 == ISRA && var11 == SSABS && var94 == EU93 ) || ( var2 == E0 && var3 == MU && var5 == HONG && var11 == SSABS && var94 == EU93 ) || ( var2 == E0 && var3 == MU && var5 == TCHE && var11 == SSABS && var94 == EU93 ) || ( var2 == E0 && var3 == MU && var5 == POLO && var11 == SSABS && var94 == EU93 ) || ( var2 == E0 && var3 == MU && var5 == SLVQ && var11 == SSABS && var94 == EU93 ) || ( var2 == E0 && var3 == MU && var5 == JAPO && var11 == SSABS && var94 == EU93 ) || ( var2 == E0 && var3 == MU && var5 == TAIW && var11 == SSABS && var94 == EU93 ) || ( var2 == E0 && var3 == MU && var5 == AUST && var11 == SSABS && var94 == EU93 ) || ( var2 == E0 && var3 == MU && var5 == URUG && var11 == SSABS && var94 == EU93 ) || ( var2 == E0 && var3 == MU && var5 == DAIB && var11 == SSABS && var94 == EU93 ) || ( var2 == E0 && var3 == MU && var5 == DAIC && var11 == SSABS && var94 == EU93 ) || ( var2 == E0 && var3 == MU && var5 == DAID && var11 == SSABS && var94 == EU93 ) || ( var2 == E0 && var3 == MU && var5 == DAIF && var11 == SSABS && var94 == EU93 ) || ( var2 == E0 && var3 == MU && var5 == EUOR && var11 == SSABS && var94 == EU93 ) || ( var2 == E0 && var3 == MU && var5 == CETI && var11 == SSABS && var94 == EU93 ) || ( var2 == E0 && var3 == MN && var5 == FRAN && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MN && var5 == FRAN && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MN && var5 == DOTO && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MN && var5 == DOTO && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MN && var5 == ALLE && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MN && var5 == ALLE && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MN && var5 == AUTR && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MN && var5 == AUTR && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MN && var5 == BELG && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MN && var5 == BELG && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MN && var5 == DANE && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MN && var5 == DANE && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MN && var5 == ESPA && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MN && var5 == ESPA && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MN && var5 == FINL && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MN && var5 == FINL && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MN && var5 == GRBR && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MN && var5 == GRBR && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MN && var5 == GREC && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MN && var5 == GREC && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MN && var5 == HOLL && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MN && var5 == HOLL && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MN && var5 == IRLA && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MN && var5 == IRLA && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MN && var5 == ISLA && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MN && var5 == ISLA && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MN && var5 == ITAL && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MN && var5 == ITAL && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MN && var5 == NORV && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MN && var5 == NORV && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MN && var5 == PORT && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MN && var5 == PORT && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MN && var5 == SUED && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MN && var5 == SUED && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MN && var5 == SUIS && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MN && var5 == SUIS && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MN && var5 == YOUG && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MN && var5 == YOUG && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MN && var5 == MAGH && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MN && var5 == TURQ && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MN && var5 == TURQ && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MN && var5 == ARGE && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MN && var5 == ARGE && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MN && var5 == BRES && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MN && var5 == BRES && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MN && var5 == CHIL && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MN && var5 == CHIL && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MN && var5 == COLO && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MN && var5 == COLO && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MN && var5 == AFSU && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MN && var5 == AFSU && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MN && var5 == MARO && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MN && var5 == ISRA && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MN && var5 == ISRA && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MN && var5 == HONG && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MN && var5 == HONG && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MN && var5 == TCHE && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MN && var5 == TCHE && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MN && var5 == POLO && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MN && var5 == POLO && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MN && var5 == SLVQ && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MN && var5 == SLVQ && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MN && var5 == JAPO && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MN && var5 == JAPO && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MN && var5 == TAIW && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MN && var5 == TAIW && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MN && var5 == AUST && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MN && var5 == AUST && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MN && var5 == URUG && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MN && var5 == URUG && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MN && var5 == DAIB && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MN && var5 == DAIC && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MN && var5 == DAIC && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MN && var5 == DAID && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MN && var5 == DAID && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MN && var5 == DAIF && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MN && var5 == DAIF && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MN && var5 == EUOR && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MN && var5 == EUOR && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MN && var5 == CETI && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MH && var5 == FRAN && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MH && var5 == DOTO && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MH && var5 == ALLE && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MH && var5 == AUTR && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MH && var5 == BELG && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MH && var5 == DANE && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MH && var5 == ESPA && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MH && var5 == FINL && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MH && var5 == GRBR && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MH && var5 == GREC && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MH && var5 == HOLL && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MH && var5 == IRLA && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MH && var5 == ISLA && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MH && var5 == ITAL && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MH && var5 == NORV && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MH && var5 == PORT && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MH && var5 == SUED && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MH && var5 == SUIS && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MH && var5 == YOUG && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MH && var5 == MAGH && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MH && var5 == TURQ && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MH && var5 == ARGE && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MH && var5 == BRES && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MH && var5 == CHIL && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MH && var5 == COLO && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MH && var5 == AFSU && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MH && var5 == MARO && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MH && var5 == ISRA && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MH && var5 == HONG && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MH && var5 == TCHE && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MH && var5 == POLO && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MH && var5 == SLVQ && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MH && var5 == JAPO && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MH && var5 == TAIW && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MH && var5 == AUST && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MH && var5 == URUG && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MH && var5 == DAIB && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MH && var5 == DAIC && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MH && var5 == DAID && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MH && var5 == DAIF && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MH && var5 == EUOR && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MH && var5 == CETI && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MG && var5 == FRAN && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MG && var5 == FRAN && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MG && var5 == DOTO && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MG && var5 == DOTO && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MG && var5 == ALLE && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MG && var5 == ALLE && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MG && var5 == AUTR && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MG && var5 == AUTR && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MG && var5 == BELG && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MG && var5 == BELG && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MG && var5 == DANE && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MG && var5 == DANE && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MG && var5 == ESPA && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MG && var5 == ESPA && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MG && var5 == FINL && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MG && var5 == FINL && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MG && var5 == GRBR && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MG && var5 == GRBR && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MG && var5 == GREC && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MG && var5 == GREC && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MG && var5 == HOLL && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MG && var5 == HOLL && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MG && var5 == IRLA && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MG && var5 == IRLA && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MG && var5 == ISLA && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MG && var5 == ISLA && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MG && var5 == ITAL && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MG && var5 == ITAL && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MG && var5 == NORV && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MG && var5 == NORV && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MG && var5 == PORT && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MG && var5 == PORT && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MG && var5 == SUED && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MG && var5 == SUED && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MG && var5 == SUIS && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MG && var5 == SUIS && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MG && var5 == YOUG && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MG && var5 == YOUG && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MG && var5 == MAGH && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MG && var5 == TURQ && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MG && var5 == TURQ && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MG && var5 == ARGE && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MG && var5 == ARGE && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MG && var5 == BRES && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MG && var5 == BRES && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MG && var5 == CHIL && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MG && var5 == CHIL && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MG && var5 == COLO && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MG && var5 == COLO && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MG && var5 == AFSU && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MG && var5 == AFSU && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MG && var5 == MARO && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MG && var5 == ISRA && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MG && var5 == ISRA && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MG && var5 == HONG && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MG && var5 == HONG && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MG && var5 == TCHE && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MG && var5 == TCHE && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MG && var5 == POLO && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MG && var5 == POLO && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MG && var5 == SLVQ && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MG && var5 == SLVQ && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MG && var5 == JAPO && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MG && var5 == JAPO && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MG && var5 == TAIW && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MG && var5 == TAIW && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MG && var5 == AUST && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MG && var5 == AUST && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MG && var5 == URUG && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MG && var5 == URUG && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MG && var5 == DAIB && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MG && var5 == DAIC && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MG && var5 == DAIC && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MG && var5 == DAID && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MG && var5 == DAID && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MG && var5 == DAIF && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MG && var5 == DAIF && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MG && var5 == EUOR && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MG && var5 == EUOR && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MG && var5 == CETI && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MY && var5 == FRAN && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MY && var5 == FRAN && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MY && var5 == DOTO && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MY && var5 == DOTO && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MY && var5 == ALLE && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MY && var5 == ALLE && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MY && var5 == AUTR && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MY && var5 == AUTR && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MY && var5 == BELG && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MY && var5 == BELG && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MY && var5 == DANE && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MY && var5 == DANE && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MY && var5 == ESPA && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MY && var5 == ESPA && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MY && var5 == FINL && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MY && var5 == FINL && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MY && var5 == GRBR && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MY && var5 == GRBR && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MY && var5 == GREC && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MY && var5 == GREC && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MY && var5 == HOLL && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MY && var5 == HOLL && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MY && var5 == IRLA && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MY && var5 == IRLA && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MY && var5 == ISLA && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MY && var5 == ISLA && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MY && var5 == ITAL && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MY && var5 == ITAL && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MY && var5 == NORV && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MY && var5 == NORV && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MY && var5 == PORT && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MY && var5 == PORT && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MY && var5 == SUED && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MY && var5 == SUED && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MY && var5 == SUIS && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MY && var5 == SUIS && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MY && var5 == YOUG && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MY && var5 == YOUG && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MY && var5 == MAGH && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MY && var5 == TURQ && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MY && var5 == TURQ && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MY && var5 == ARGE && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MY && var5 == ARGE && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MY && var5 == BRES && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MY && var5 == BRES && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MY && var5 == CHIL && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MY && var5 == CHIL && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MY && var5 == COLO && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MY && var5 == COLO && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MY && var5 == AFSU && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MY && var5 == AFSU && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MY && var5 == MARO && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MY && var5 == ISRA && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MY && var5 == ISRA && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MY && var5 == HONG && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MY && var5 == HONG && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MY && var5 == TCHE && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MY && var5 == TCHE && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MY && var5 == POLO && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MY && var5 == POLO && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MY && var5 == SLVQ && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MY && var5 == SLVQ && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MY && var5 == JAPO && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MY && var5 == JAPO && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MY && var5 == TAIW && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MY && var5 == TAIW && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MY && var5 == AUST && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MY && var5 == AUST && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MY && var5 == URUG && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MY && var5 == URUG && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MY && var5 == DAIB && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MY && var5 == DAIC && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MY && var5 == DAIC && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MY && var5 == DAID && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MY && var5 == DAID && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MY && var5 == DAIF && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MY && var5 == DAIF && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MY && var5 == EUOR && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == MY && var5 == EUOR && var11 == ABS && var94 == EU96 ) || ( var2 == E0 && var3 == MY && var5 == CETI && var11 == SSABS && var94 == EU96 ) || ( var2 == E0 && var3 == NM2K && var5 == FRAN && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM2K && var5 == FRAN && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM2K && var5 == DOTO && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM2K && var5 == DOTO && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM2K && var5 == ALLE && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM2K && var5 == ALLE && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM2K && var5 == AUTR && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM2K && var5 == AUTR && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM2K && var5 == BELG && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM2K && var5 == BELG && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM2K && var5 == DANE && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM2K && var5 == DANE && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM2K && var5 == ESPA && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM2K && var5 == ESPA && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM2K && var5 == FINL && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM2K && var5 == FINL && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM2K && var5 == GRBR && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM2K && var5 == GRBR && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM2K && var5 == GREC && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM2K && var5 == GREC && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM2K && var5 == HOLL && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM2K && var5 == HOLL && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM2K && var5 == IRLA && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM2K && var5 == IRLA && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM2K && var5 == ISLA && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM2K && var5 == ISLA && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM2K && var5 == ITAL && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM2K && var5 == ITAL && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM2K && var5 == NORV && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM2K && var5 == NORV && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM2K && var5 == PORT && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM2K && var5 == PORT && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM2K && var5 == SUED && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM2K && var5 == SUED && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM2K && var5 == SUIS && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM2K && var5 == SUIS && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM2K && var5 == YOUG && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM2K && var5 == YOUG && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM2K && var5 == MAGH && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM2K && var5 == TURQ && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM2K && var5 == TURQ && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM2K && var5 == ARGE && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM2K && var5 == ARGE && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM2K && var5 == BRES && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM2K && var5 == BRES && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM2K && var5 == CHIL && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM2K && var5 == CHIL && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM2K && var5 == COLO && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM2K && var5 == COLO && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM2K && var5 == AFSU && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM2K && var5 == AFSU && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM2K && var5 == MARO && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM2K && var5 == ISRA && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM2K && var5 == ISRA && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM2K && var5 == HONG && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM2K && var5 == HONG && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM2K && var5 == TCHE && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM2K && var5 == TCHE && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM2K && var5 == POLO && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM2K && var5 == POLO && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM2K && var5 == SLVQ && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM2K && var5 == SLVQ && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM2K && var5 == JAPO && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM2K && var5 == JAPO && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM2K && var5 == TAIW && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM2K && var5 == TAIW && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM2K && var5 == AUST && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM2K && var5 == AUST && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM2K && var5 == URUG && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM2K && var5 == URUG && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM2K && var5 == DAIB && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM2K && var5 == DAIC && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM2K && var5 == DAIC && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM2K && var5 == DAID && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM2K && var5 == DAID && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM2K && var5 == DAIF && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM2K && var5 == DAIF && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM2K && var5 == EUOR && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM2K && var5 == EUOR && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM2K && var5 == CETI && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM0C && var5 == FRAN && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM0C && var5 == FRAN && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM0C && var5 == DOTO && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM0C && var5 == DOTO && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM0C && var5 == ALLE && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM0C && var5 == ALLE && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM0C && var5 == AUTR && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM0C && var5 == AUTR && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM0C && var5 == BELG && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM0C && var5 == BELG && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM0C && var5 == DANE && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM0C && var5 == DANE && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM0C && var5 == ESPA && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM0C && var5 == ESPA && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM0C && var5 == FINL && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM0C && var5 == FINL && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM0C && var5 == GRBR && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM0C && var5 == GRBR && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM0C && var5 == GREC && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM0C && var5 == GREC && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM0C && var5 == HOLL && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM0C && var5 == HOLL && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM0C && var5 == IRLA && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM0C && var5 == IRLA && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM0C && var5 == ISLA && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM0C && var5 == ISLA && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM0C && var5 == ITAL && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM0C && var5 == ITAL && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM0C && var5 == NORV && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM0C && var5 == NORV && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM0C && var5 == PORT && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM0C && var5 == PORT && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM0C && var5 == SUED && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM0C && var5 == SUED && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM0C && var5 == SUIS && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM0C && var5 == SUIS && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM0C && var5 == YOUG && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM0C && var5 == YOUG && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM0C && var5 == MAGH && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM0C && var5 == TURQ && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM0C && var5 == TURQ && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM0C && var5 == ARGE && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM0C && var5 == ARGE && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM0C && var5 == BRES && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM0C && var5 == BRES && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM0C && var5 == CHIL && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM0C && var5 == CHIL && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM0C && var5 == COLO && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM0C && var5 == COLO && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM0C && var5 == AFSU && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM0C && var5 == AFSU && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM0C && var5 == MARO && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM0C && var5 == ISRA && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM0C && var5 == ISRA && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM0C && var5 == HONG && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM0C && var5 == HONG && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM0C && var5 == TCHE && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM0C && var5 == TCHE && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM0C && var5 == POLO && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM0C && var5 == POLO && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM0C && var5 == SLVQ && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM0C && var5 == SLVQ && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM0C && var5 == JAPO && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM0C && var5 == JAPO && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM0C && var5 == TAIW && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM0C && var5 == TAIW && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM0C && var5 == AUST && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM0C && var5 == AUST && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM0C && var5 == URUG && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM0C && var5 == URUG && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM0C && var5 == DAIB && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM0C && var5 == DAIC && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM0C && var5 == DAIC && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM0C && var5 == DAID && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM0C && var5 == DAID && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM0C && var5 == DAIF && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM0C && var5 == DAIF && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM0C && var5 == EUOR && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM0C && var5 == EUOR && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == NM0C && var5 == CETI && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == ND1G && var5 == FRAN && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == ND1G && var5 == FRAN && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == ND1G && var5 == DOTO && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == ND1G && var5 == DOTO && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == ND1G && var5 == ALLE && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == ND1G && var5 == ALLE && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == ND1G && var5 == AUTR && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == ND1G && var5 == AUTR && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == ND1G && var5 == BELG && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == ND1G && var5 == BELG && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == ND1G && var5 == DANE && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == ND1G && var5 == DANE && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == ND1G && var5 == ESPA && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == ND1G && var5 == ESPA && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == ND1G && var5 == FINL && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == ND1G && var5 == FINL && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == ND1G && var5 == GRBR && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == ND1G && var5 == GRBR && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == ND1G && var5 == GREC && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == ND1G && var5 == GREC && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == ND1G && var5 == HOLL && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == ND1G && var5 == HOLL && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == ND1G && var5 == IRLA && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == ND1G && var5 == IRLA && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == ND1G && var5 == ISLA && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == ND1G && var5 == ISLA && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == ND1G && var5 == ITAL && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == ND1G && var5 == ITAL && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == ND1G && var5 == NORV && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == ND1G && var5 == NORV && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == ND1G && var5 == PORT && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == ND1G && var5 == PORT && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == ND1G && var5 == SUED && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == ND1G && var5 == SUED && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == ND1G && var5 == SUIS && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == ND1G && var5 == SUIS && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == ND1G && var5 == YOUG && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == ND1G && var5 == YOUG && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == ND1G && var5 == MAGH && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == ND1G && var5 == TURQ && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == ND1G && var5 == TURQ && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == ND1G && var5 == ARGE && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == ND1G && var5 == ARGE && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == ND1G && var5 == BRES && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == ND1G && var5 == BRES && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == ND1G && var5 == CHIL && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == ND1G && var5 == CHIL && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == ND1G && var5 == COLO && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == ND1G && var5 == COLO && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == ND1G && var5 == AFSU && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == ND1G && var5 == AFSU && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == ND1G && var5 == MARO && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == ND1G && var5 == ISRA && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == ND1G && var5 == ISRA && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == ND1G && var5 == HONG && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == ND1G && var5 == HONG && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == ND1G && var5 == TCHE && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == ND1G && var5 == TCHE && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == ND1G && var5 == POLO && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == ND1G && var5 == POLO && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == ND1G && var5 == SLVQ && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == ND1G && var5 == SLVQ && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == ND1G && var5 == JAPO && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == ND1G && var5 == JAPO && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == ND1G && var5 == TAIW && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == ND1G && var5 == TAIW && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == ND1G && var5 == AUST && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == ND1G && var5 == AUST && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == ND1G && var5 == URUG && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == ND1G && var5 == URUG && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == ND1G && var5 == DAIB && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == ND1G && var5 == DAIC && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == ND1G && var5 == DAIC && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == ND1G && var5 == DAID && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == ND1G && var5 == DAID && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == ND1G && var5 == DAIF && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == ND1G && var5 == DAIF && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == ND1G && var5 == EUOR && var11 == SSABS && var94 == EU00 ) || ( var2 == E0 && var3 == ND1G && var5 == EUOR && var11 == ABS && var94 == EU00 ) || ( var2 == E0 && var3 == ND1G && var5 == CETI && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == M5 && var5 == FRAN && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == M5 && var5 == FRAN && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == M5 && var5 == DOTO && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == M5 && var5 == DOTO && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == M5 && var5 == ALLE && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == M5 && var5 == ALLE && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == M5 && var5 == AUTR && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == M5 && var5 == AUTR && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == M5 && var5 == BELG && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == M5 && var5 == BELG && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == M5 && var5 == DANE && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == M5 && var5 == DANE && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == M5 && var5 == ESPA && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == M5 && var5 == ESPA && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == M5 && var5 == FINL && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == M5 && var5 == FINL && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == M5 && var5 == GRBR && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == M5 && var5 == GRBR && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == M5 && var5 == GREC && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == M5 && var5 == GREC && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == M5 && var5 == HOLL && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == M5 && var5 == HOLL && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == M5 && var5 == IRLA && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == M5 && var5 == IRLA && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == M5 && var5 == ISLA && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == M5 && var5 == ISLA && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == M5 && var5 == ITAL && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == M5 && var5 == ITAL && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == M5 && var5 == NORV && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == M5 && var5 == NORV && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == M5 && var5 == PORT && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == M5 && var5 == PORT && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == M5 && var5 == SUED && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == M5 && var5 == SUED && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == M5 && var5 == SUIS && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == M5 && var5 == SUIS && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == M5 && var5 == YOUG && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == M5 && var5 == YOUG && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == M5 && var5 == MAGH && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == M5 && var5 == TURQ && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == M5 && var5 == TURQ && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == M5 && var5 == ARGE && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == M5 && var5 == ARGE && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == M5 && var5 == BRES && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == M5 && var5 == BRES && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == M5 && var5 == CHIL && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == M5 && var5 == CHIL && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == M5 && var5 == COLO && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == M5 && var5 == COLO && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == M5 && var5 == AFSU && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == M5 && var5 == AFSU && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == M5 && var5 == MARO && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == M5 && var5 == ISRA && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == M5 && var5 == ISRA && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == M5 && var5 == HONG && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == M5 && var5 == HONG && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == M5 && var5 == TCHE && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == M5 && var5 == TCHE && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == M5 && var5 == POLO && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == M5 && var5 == POLO && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == M5 && var5 == SLVQ && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == M5 && var5 == SLVQ && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == M5 && var5 == JAPO && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == M5 && var5 == JAPO && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == M5 && var5 == TAIW && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == M5 && var5 == TAIW && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == M5 && var5 == AUST && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == M5 && var5 == AUST && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == M5 && var5 == URUG && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == M5 && var5 == URUG && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == M5 && var5 == DAIB && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == M5 && var5 == DAIC && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == M5 && var5 == DAIC && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == M5 && var5 == DAID && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == M5 && var5 == DAID && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == M5 && var5 == DAIF && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == M5 && var5 == DAIF && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == M5 && var5 == EUOR && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == M5 && var5 == EUOR && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == M5 && var5 == CETI && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == M6 && var5 == FRAN && var11 == SSABS && var94 == EU93 ) || ( var2 == E1 && var3 == M6 && var5 == DOTO && var11 == SSABS && var94 == EU93 ) || ( var2 == E1 && var3 == M6 && var5 == ALLE && var11 == SSABS && var94 == EU93 ) || ( var2 == E1 && var3 == M6 && var5 == AUTR && var11 == SSABS && var94 == EU93 ) || ( var2 == E1 && var3 == M6 && var5 == BELG && var11 == SSABS && var94 == EU93 ) || ( var2 == E1 && var3 == M6 && var5 == DANE && var11 == SSABS && var94 == EU93 ) || ( var2 == E1 && var3 == M6 && var5 == ESPA && var11 == SSABS && var94 == EU93 ) || ( var2 == E1 && var3 == M6 && var5 == FINL && var11 == SSABS && var94 == EU93 ) || ( var2 == E1 && var3 == M6 && var5 == GRBR && var11 == SSABS && var94 == EU93 ) || ( var2 == E1 && var3 == M6 && var5 == GREC && var11 == SSABS && var94 == EU93 ) || ( var2 == E1 && var3 == M6 && var5 == HOLL && var11 == SSABS && var94 == EU93 ) || ( var2 == E1 && var3 == M6 && var5 == IRLA && var11 == SSABS && var94 == EU93 ) || ( var2 == E1 && var3 == M6 && var5 == ISLA && var11 == SSABS && var94 == EU93 ) || ( var2 == E1 && var3 == M6 && var5 == ITAL && var11 == SSABS && var94 == EU93 ) || ( var2 == E1 && var3 == M6 && var5 == NORV && var11 == SSABS && var94 == EU93 ) || ( var2 == E1 && var3 == M6 && var5 == PORT && var11 == SSABS && var94 == EU93 ) || ( var2 == E1 && var3 == M6 && var5 == SUED && var11 == SSABS && var94 == EU93 ) || ( var2 == E1 && var3 == M6 && var5 == SUIS && var11 == SSABS && var94 == EU93 ) || ( var2 == E1 && var3 == M6 && var5 == YOUG && var11 == SSABS && var94 == EU93 ) || ( var2 == E1 && var3 == M6 && var5 == MAGH && var11 == SSABS && var94 == EU93 ) || ( var2 == E1 && var3 == M6 && var5 == TURQ && var11 == SSABS && var94 == EU93 ) || ( var2 == E1 && var3 == M6 && var5 == ARGE && var11 == SSABS && var94 == EU93 ) || ( var2 == E1 && var3 == M6 && var5 == BRES && var11 == SSABS && var94 == EU93 ) || ( var2 == E1 && var3 == M6 && var5 == CHIL && var11 == SSABS && var94 == EU93 ) || ( var2 == E1 && var3 == M6 && var5 == COLO && var11 == SSABS && var94 == EU93 ) || ( var2 == E1 && var3 == M6 && var5 == AFSU && var11 == SSABS && var94 == EU93 ) || ( var2 == E1 && var3 == M6 && var5 == MARO && var11 == SSABS && var94 == EU93 ) || ( var2 == E1 && var3 == M6 && var5 == ISRA && var11 == SSABS && var94 == EU93 ) || ( var2 == E1 && var3 == M6 && var5 == HONG && var11 == SSABS && var94 == EU93 ) || ( var2 == E1 && var3 == M6 && var5 == TCHE && var11 == SSABS && var94 == EU93 ) || ( var2 == E1 && var3 == M6 && var5 == POLO && var11 == SSABS && var94 == EU93 ) || ( var2 == E1 && var3 == M6 && var5 == SLVQ && var11 == SSABS && var94 == EU93 ) || ( var2 == E1 && var3 == M6 && var5 == JAPO && var11 == SSABS && var94 == EU93 ) || ( var2 == E1 && var3 == M6 && var5 == TAIW && var11 == SSABS && var94 == EU93 ) || ( var2 == E1 && var3 == M6 && var5 == AUST && var11 == SSABS && var94 == EU93 ) || ( var2 == E1 && var3 == M6 && var5 == URUG && var11 == SSABS && var94 == EU93 ) || ( var2 == E1 && var3 == M6 && var5 == DAIB && var11 == SSABS && var94 == EU93 ) || ( var2 == E1 && var3 == M6 && var5 == DAIC && var11 == SSABS && var94 == EU93 ) || ( var2 == E1 && var3 == M6 && var5 == DAID && var11 == SSABS && var94 == EU93 ) || ( var2 == E1 && var3 == M6 && var5 == DAIF && var11 == SSABS && var94 == EU93 ) || ( var2 == E1 && var3 == M6 && var5 == EUOR && var11 == SSABS && var94 == EU93 ) || ( var2 == E1 && var3 == M6 && var5 == CETI && var11 == SSABS && var94 == EU93 ) || ( var2 == E1 && var3 == M7 && var5 == FRAN && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E1 && var3 == M7 && var5 == DOTO && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E1 && var3 == M7 && var5 == ALLE && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E1 && var3 == M7 && var5 == AUTR && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E1 && var3 == M7 && var5 == BELG && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E1 && var3 == M7 && var5 == DANE && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E1 && var3 == M7 && var5 == ESPA && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E1 && var3 == M7 && var5 == FINL && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E1 && var3 == M7 && var5 == GRBR && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E1 && var3 == M7 && var5 == GREC && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E1 && var3 == M7 && var5 == HOLL && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E1 && var3 == M7 && var5 == IRLA && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E1 && var3 == M7 && var5 == ISLA && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E1 && var3 == M7 && var5 == ITAL && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E1 && var3 == M7 && var5 == NORV && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E1 && var3 == M7 && var5 == PORT && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E1 && var3 == M7 && var5 == SUED && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E1 && var3 == M7 && var5 == SUIS && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E1 && var3 == M7 && var5 == YOUG && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E1 && var3 == M7 && var5 == MAGH && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E1 && var3 == M7 && var5 == TURQ && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E1 && var3 == M7 && var5 == ARGE && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E1 && var3 == M7 && var5 == BRES && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E1 && var3 == M7 && var5 == CHIL && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E1 && var3 == M7 && var5 == COLO && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E1 && var3 == M7 && var5 == AFSU && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E1 && var3 == M7 && var5 == MARO && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E1 && var3 == M7 && var5 == ISRA && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E1 && var3 == M7 && var5 == HONG && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E1 && var3 == M7 && var5 == TCHE && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E1 && var3 == M7 && var5 == POLO && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E1 && var3 == M7 && var5 == SLVQ && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E1 && var3 == M7 && var5 == JAPO && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E1 && var3 == M7 && var5 == TAIW && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E1 && var3 == M7 && var5 == AUST && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E1 && var3 == M7 && var5 == URUG && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E1 && var3 == M7 && var5 == DAIB && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E1 && var3 == M7 && var5 == DAIC && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E1 && var3 == M7 && var5 == DAID && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E1 && var3 == M7 && var5 == DAIF && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E1 && var3 == M7 && var5 == EUOR && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E1 && var3 == M7 && var5 == CETI && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E1 && var3 == M8 && var5 == FRAN && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == M8 && var5 == FRAN && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == M8 && var5 == DOTO && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == M8 && var5 == DOTO && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == M8 && var5 == ALLE && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == M8 && var5 == ALLE && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == M8 && var5 == AUTR && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == M8 && var5 == AUTR && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == M8 && var5 == BELG && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == M8 && var5 == BELG && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == M8 && var5 == DANE && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == M8 && var5 == DANE && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == M8 && var5 == ESPA && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == M8 && var5 == ESPA && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == M8 && var5 == FINL && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == M8 && var5 == FINL && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == M8 && var5 == GRBR && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == M8 && var5 == GRBR && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == M8 && var5 == GREC && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == M8 && var5 == GREC && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == M8 && var5 == HOLL && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == M8 && var5 == HOLL && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == M8 && var5 == IRLA && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == M8 && var5 == IRLA && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == M8 && var5 == ISLA && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == M8 && var5 == ISLA && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == M8 && var5 == ITAL && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == M8 && var5 == ITAL && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == M8 && var5 == NORV && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == M8 && var5 == NORV && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == M8 && var5 == PORT && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == M8 && var5 == PORT && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == M8 && var5 == SUED && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == M8 && var5 == SUED && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == M8 && var5 == SUIS && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == M8 && var5 == SUIS && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == M8 && var5 == YOUG && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == M8 && var5 == YOUG && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == M8 && var5 == MAGH && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == M8 && var5 == TURQ && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == M8 && var5 == TURQ && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == M8 && var5 == ARGE && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == M8 && var5 == ARGE && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == M8 && var5 == BRES && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == M8 && var5 == BRES && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == M8 && var5 == CHIL && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == M8 && var5 == CHIL && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == M8 && var5 == COLO && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == M8 && var5 == COLO && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == M8 && var5 == AFSU && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == M8 && var5 == AFSU && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == M8 && var5 == MARO && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == M8 && var5 == ISRA && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == M8 && var5 == ISRA && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == M8 && var5 == HONG && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == M8 && var5 == HONG && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == M8 && var5 == TCHE && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == M8 && var5 == TCHE && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == M8 && var5 == POLO && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == M8 && var5 == POLO && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == M8 && var5 == SLVQ && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == M8 && var5 == SLVQ && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == M8 && var5 == JAPO && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == M8 && var5 == JAPO && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == M8 && var5 == TAIW && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == M8 && var5 == TAIW && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == M8 && var5 == AUST && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == M8 && var5 == AUST && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == M8 && var5 == URUG && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == M8 && var5 == URUG && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == M8 && var5 == DAIB && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == M8 && var5 == DAIC && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == M8 && var5 == DAIC && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == M8 && var5 == DAID && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == M8 && var5 == DAID && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == M8 && var5 == DAIF && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == M8 && var5 == DAIF && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == M8 && var5 == EUOR && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == M8 && var5 == EUOR && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == M8 && var5 == CETI && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == M9 && var5 == FRAN && var11 == SSABS && var94 == EU93 ) || ( var2 == E1 && var3 == M9 && var5 == FRAN && var11 == ABS && var94 == EU93 ) || ( var2 == E1 && var3 == M9 && var5 == DOTO && var11 == SSABS && var94 == EU93 ) || ( var2 == E1 && var3 == M9 && var5 == DOTO && var11 == ABS && var94 == EU93 ) || ( var2 == E1 && var3 == M9 && var5 == ALLE && var11 == SSABS && var94 == EU93 ) || ( var2 == E1 && var3 == M9 && var5 == ALLE && var11 == ABS && var94 == EU93 ) || ( var2 == E1 && var3 == M9 && var5 == AUTR && var11 == SSABS && var94 == EU93 ) || ( var2 == E1 && var3 == M9 && var5 == AUTR && var11 == ABS && var94 == EU93 ) || ( var2 == E1 && var3 == M9 && var5 == BELG && var11 == SSABS && var94 == EU93 ) || ( var2 == E1 && var3 == M9 && var5 == BELG && var11 == ABS && var94 == EU93 ) || ( var2 == E1 && var3 == M9 && var5 == DANE && var11 == SSABS && var94 == EU93 ) || ( var2 == E1 && var3 == M9 && var5 == DANE && var11 == ABS && var94 == EU93 ) || ( var2 == E1 && var3 == M9 && var5 == ESPA && var11 == SSABS && var94 == EU93 ) || ( var2 == E1 && var3 == M9 && var5 == ESPA && var11 == ABS && var94 == EU93 ) || ( var2 == E1 && var3 == M9 && var5 == FINL && var11 == SSABS && var94 == EU93 ) || ( var2 == E1 && var3 == M9 && var5 == FINL && var11 == ABS && var94 == EU93 ) || ( var2 == E1 && var3 == M9 && var5 == GRBR && var11 == SSABS && var94 == EU93 ) || ( var2 == E1 && var3 == M9 && var5 == GRBR && var11 == ABS && var94 == EU93 ) || ( var2 == E1 && var3 == M9 && var5 == GREC && var11 == SSABS && var94 == EU93 ) || ( var2 == E1 && var3 == M9 && var5 == GREC && var11 == ABS && var94 == EU93 ) || ( var2 == E1 && var3 == M9 && var5 == HOLL && var11 == SSABS && var94 == EU93 ) || ( var2 == E1 && var3 == M9 && var5 == HOLL && var11 == ABS && var94 == EU93 ) || ( var2 == E1 && var3 == M9 && var5 == IRLA && var11 == SSABS && var94 == EU93 ) || ( var2 == E1 && var3 == M9 && var5 == IRLA && var11 == ABS && var94 == EU93 ) || ( var2 == E1 && var3 == M9 && var5 == ISLA && var11 == SSABS && var94 == EU93 ) || ( var2 == E1 && var3 == M9 && var5 == ISLA && var11 == ABS && var94 == EU93 ) || ( var2 == E1 && var3 == M9 && var5 == ITAL && var11 == SSABS && var94 == EU93 ) || ( var2 == E1 && var3 == M9 && var5 == ITAL && var11 == ABS && var94 == EU93 ) || ( var2 == E1 && var3 == M9 && var5 == NORV && var11 == SSABS && var94 == EU93 ) || ( var2 == E1 && var3 == M9 && var5 == NORV && var11 == ABS && var94 == EU93 ) || ( var2 == E1 && var3 == M9 && var5 == PORT && var11 == SSABS && var94 == EU93 ) || ( var2 == E1 && var3 == M9 && var5 == PORT && var11 == ABS && var94 == EU93 ) || ( var2 == E1 && var3 == M9 && var5 == SUED && var11 == SSABS && var94 == EU93 ) || ( var2 == E1 && var3 == M9 && var5 == SUED && var11 == ABS && var94 == EU93 ) || ( var2 == E1 && var3 == M9 && var5 == SUIS && var11 == SSABS && var94 == EU93 ) || ( var2 == E1 && var3 == M9 && var5 == SUIS && var11 == ABS && var94 == EU93 ) || ( var2 == E1 && var3 == M9 && var5 == YOUG && var11 == SSABS && var94 == EU93 ) || ( var2 == E1 && var3 == M9 && var5 == YOUG && var11 == ABS && var94 == EU93 ) || ( var2 == E1 && var3 == M9 && var5 == MAGH && var11 == SSABS && var94 == EU93 ) || ( var2 == E1 && var3 == M9 && var5 == TURQ && var11 == SSABS && var94 == EU93 ) || ( var2 == E1 && var3 == M9 && var5 == TURQ && var11 == ABS && var94 == EU93 ) || ( var2 == E1 && var3 == M9 && var5 == ARGE && var11 == SSABS && var94 == EU93 ) || ( var2 == E1 && var3 == M9 && var5 == ARGE && var11 == ABS && var94 == EU93 ) || ( var2 == E1 && var3 == M9 && var5 == BRES && var11 == SSABS && var94 == EU93 ) || ( var2 == E1 && var3 == M9 && var5 == BRES && var11 == ABS && var94 == EU93 ) || ( var2 == E1 && var3 == M9 && var5 == CHIL && var11 == SSABS && var94 == EU93 ) || ( var2 == E1 && var3 == M9 && var5 == CHIL && var11 == ABS && var94 == EU93 ) || ( var2 == E1 && var3 == M9 && var5 == COLO && var11 == SSABS && var94 == EU93 ) || ( var2 == E1 && var3 == M9 && var5 == COLO && var11 == ABS && var94 == EU93 ) || ( var2 == E1 && var3 == M9 && var5 == AFSU && var11 == SSABS && var94 == EU93 ) || ( var2 == E1 && var3 == M9 && var5 == AFSU && var11 == ABS && var94 == EU93 ) || ( var2 == E1 && var3 == M9 && var5 == MARO && var11 == SSABS && var94 == EU93 ) || ( var2 == E1 && var3 == M9 && var5 == ISRA && var11 == SSABS && var94 == EU93 ) || ( var2 == E1 && var3 == M9 && var5 == ISRA && var11 == ABS && var94 == EU93 ) || ( var2 == E1 && var3 == M9 && var5 == HONG && var11 == SSABS && var94 == EU93 ) || ( var2 == E1 && var3 == M9 && var5 == HONG && var11 == ABS && var94 == EU93 ) || ( var2 == E1 && var3 == M9 && var5 == TCHE && var11 == SSABS && var94 == EU93 ) || ( var2 == E1 && var3 == M9 && var5 == TCHE && var11 == ABS && var94 == EU93 ) || ( var2 == E1 && var3 == M9 && var5 == POLO && var11 == SSABS && var94 == EU93 ) || ( var2 == E1 && var3 == M9 && var5 == POLO && var11 == ABS && var94 == EU93 ) || ( var2 == E1 && var3 == M9 && var5 == SLVQ && var11 == SSABS && var94 == EU93 ) || ( var2 == E1 && var3 == M9 && var5 == SLVQ && var11 == ABS && var94 == EU93 ) || ( var2 == E1 && var3 == M9 && var5 == JAPO && var11 == SSABS && var94 == EU93 ) || ( var2 == E1 && var3 == M9 && var5 == JAPO && var11 == ABS && var94 == EU93 ) || ( var2 == E1 && var3 == M9 && var5 == TAIW && var11 == SSABS && var94 == EU93 ) || ( var2 == E1 && var3 == M9 && var5 == TAIW && var11 == ABS && var94 == EU93 ) || ( var2 == E1 && var3 == M9 && var5 == AUST && var11 == SSABS && var94 == EU93 ) || ( var2 == E1 && var3 == M9 && var5 == AUST && var11 == ABS && var94 == EU93 ) || ( var2 == E1 && var3 == M9 && var5 == URUG && var11 == SSABS && var94 == EU93 ) || ( var2 == E1 && var3 == M9 && var5 == URUG && var11 == ABS && var94 == EU93 ) || ( var2 == E1 && var3 == M9 && var5 == DAIB && var11 == SSABS && var94 == EU93 ) || ( var2 == E1 && var3 == M9 && var5 == DAIC && var11 == SSABS && var94 == EU93 ) || ( var2 == E1 && var3 == M9 && var5 == DAIC && var11 == ABS && var94 == EU93 ) || ( var2 == E1 && var3 == M9 && var5 == DAID && var11 == SSABS && var94 == EU93 ) || ( var2 == E1 && var3 == M9 && var5 == DAID && var11 == ABS && var94 == EU93 ) || ( var2 == E1 && var3 == M9 && var5 == DAIF && var11 == SSABS && var94 == EU93 ) || ( var2 == E1 && var3 == M9 && var5 == DAIF && var11 == ABS && var94 == EU93 ) || ( var2 == E1 && var3 == M9 && var5 == EUOR && var11 == SSABS && var94 == EU93 ) || ( var2 == E1 && var3 == M9 && var5 == EUOR && var11 == ABS && var94 == EU93 ) || ( var2 == E1 && var3 == M9 && var5 == CETI && var11 == SSABS && var94 == EU93 ) || ( var2 == E1 && var3 == MA && var5 == FRAN && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MA && var5 == FRAN && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MA && var5 == DOTO && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MA && var5 == DOTO && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MA && var5 == ALLE && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MA && var5 == ALLE && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MA && var5 == AUTR && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MA && var5 == AUTR && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MA && var5 == BELG && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MA && var5 == BELG && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MA && var5 == DANE && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MA && var5 == DANE && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MA && var5 == ESPA && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MA && var5 == ESPA && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MA && var5 == FINL && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MA && var5 == FINL && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MA && var5 == GRBR && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MA && var5 == GRBR && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MA && var5 == GREC && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MA && var5 == GREC && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MA && var5 == HOLL && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MA && var5 == HOLL && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MA && var5 == IRLA && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MA && var5 == IRLA && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MA && var5 == ISLA && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MA && var5 == ISLA && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MA && var5 == ITAL && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MA && var5 == ITAL && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MA && var5 == NORV && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MA && var5 == NORV && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MA && var5 == PORT && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MA && var5 == PORT && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MA && var5 == SUED && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MA && var5 == SUED && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MA && var5 == SUIS && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MA && var5 == SUIS && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MA && var5 == YOUG && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MA && var5 == YOUG && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MA && var5 == MAGH && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MA && var5 == TURQ && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MA && var5 == TURQ && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MA && var5 == ARGE && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MA && var5 == ARGE && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MA && var5 == BRES && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MA && var5 == BRES && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MA && var5 == CHIL && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MA && var5 == CHIL && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MA && var5 == COLO && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MA && var5 == COLO && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MA && var5 == AFSU && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MA && var5 == AFSU && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MA && var5 == MARO && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MA && var5 == ISRA && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MA && var5 == ISRA && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MA && var5 == HONG && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MA && var5 == HONG && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MA && var5 == TCHE && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MA && var5 == TCHE && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MA && var5 == POLO && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MA && var5 == POLO && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MA && var5 == SLVQ && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MA && var5 == SLVQ && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MA && var5 == JAPO && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MA && var5 == JAPO && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MA && var5 == TAIW && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MA && var5 == TAIW && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MA && var5 == AUST && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MA && var5 == AUST && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MA && var5 == URUG && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MA && var5 == URUG && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MA && var5 == DAIB && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MA && var5 == DAIC && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MA && var5 == DAIC && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MA && var5 == DAID && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MA && var5 == DAID && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MA && var5 == DAIF && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MA && var5 == DAIF && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MA && var5 == EUOR && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MA && var5 == EUOR && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MA && var5 == CETI && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MB && var5 == FRAN && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == MB && var5 == FRAN && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MB && var5 == DOTO && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == MB && var5 == DOTO && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MB && var5 == ALLE && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == MB && var5 == ALLE && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MB && var5 == AUTR && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == MB && var5 == AUTR && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MB && var5 == BELG && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == MB && var5 == BELG && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MB && var5 == DANE && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == MB && var5 == DANE && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MB && var5 == ESPA && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == MB && var5 == ESPA && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MB && var5 == FINL && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == MB && var5 == FINL && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MB && var5 == GRBR && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == MB && var5 == GRBR && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MB && var5 == GREC && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == MB && var5 == GREC && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MB && var5 == HOLL && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == MB && var5 == HOLL && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MB && var5 == IRLA && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == MB && var5 == IRLA && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MB && var5 == ISLA && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == MB && var5 == ISLA && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MB && var5 == ITAL && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == MB && var5 == ITAL && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MB && var5 == NORV && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == MB && var5 == NORV && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MB && var5 == PORT && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == MB && var5 == PORT && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MB && var5 == SUED && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == MB && var5 == SUED && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MB && var5 == SUIS && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == MB && var5 == SUIS && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MB && var5 == YOUG && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == MB && var5 == YOUG && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MB && var5 == MAGH && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == MB && var5 == TURQ && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == MB && var5 == TURQ && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MB && var5 == ARGE && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == MB && var5 == ARGE && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MB && var5 == BRES && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == MB && var5 == BRES && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MB && var5 == CHIL && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == MB && var5 == CHIL && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MB && var5 == COLO && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == MB && var5 == COLO && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MB && var5 == AFSU && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == MB && var5 == AFSU && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MB && var5 == MARO && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == MB && var5 == ISRA && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == MB && var5 == ISRA && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MB && var5 == HONG && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == MB && var5 == HONG && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MB && var5 == TCHE && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == MB && var5 == TCHE && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MB && var5 == POLO && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == MB && var5 == POLO && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MB && var5 == SLVQ && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == MB && var5 == SLVQ && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MB && var5 == JAPO && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == MB && var5 == JAPO && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MB && var5 == TAIW && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == MB && var5 == TAIW && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MB && var5 == AUST && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == MB && var5 == AUST && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MB && var5 == URUG && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == MB && var5 == URUG && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MB && var5 == DAIB && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == MB && var5 == DAIC && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == MB && var5 == DAIC && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MB && var5 == DAID && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == MB && var5 == DAID && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MB && var5 == DAIF && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == MB && var5 == DAIF && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MB && var5 == EUOR && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == MB && var5 == EUOR && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MB && var5 == CETI && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == MC && var5 == FRAN && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MC && var5 == DOTO && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MC && var5 == ALLE && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MC && var5 == AUTR && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MC && var5 == BELG && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MC && var5 == DANE && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MC && var5 == ESPA && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MC && var5 == FINL && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MC && var5 == GRBR && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MC && var5 == GREC && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MC && var5 == HOLL && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MC && var5 == IRLA && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MC && var5 == ISLA && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MC && var5 == ITAL && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MC && var5 == NORV && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MC && var5 == PORT && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MC && var5 == SUED && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MC && var5 == SUIS && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MC && var5 == YOUG && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MC && var5 == MAGH && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == MC && var5 == TURQ && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MC && var5 == ARGE && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MC && var5 == BRES && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MC && var5 == CHIL && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MC && var5 == COLO && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MC && var5 == AFSU && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MC && var5 == MARO && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == MC && var5 == ISRA && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MC && var5 == HONG && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MC && var5 == TCHE && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MC && var5 == POLO && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MC && var5 == SLVQ && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MC && var5 == JAPO && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MC && var5 == TAIW && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MC && var5 == AUST && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MC && var5 == URUG && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MC && var5 == DAIB && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == MC && var5 == DAIC && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MC && var5 == DAID && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MC && var5 == DAIF && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MC && var5 == EUOR && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MC && var5 == CETI && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == MD && var5 == FRAN && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == MD && var5 == FRAN && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MD && var5 == DOTO && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == MD && var5 == DOTO && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MD && var5 == ALLE && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == MD && var5 == ALLE && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MD && var5 == AUTR && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == MD && var5 == AUTR && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MD && var5 == BELG && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == MD && var5 == BELG && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MD && var5 == DANE && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == MD && var5 == DANE && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MD && var5 == ESPA && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == MD && var5 == ESPA && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MD && var5 == FINL && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == MD && var5 == FINL && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MD && var5 == GRBR && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == MD && var5 == GRBR && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MD && var5 == GREC && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == MD && var5 == GREC && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MD && var5 == HOLL && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == MD && var5 == HOLL && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MD && var5 == IRLA && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == MD && var5 == IRLA && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MD && var5 == ISLA && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == MD && var5 == ISLA && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MD && var5 == ITAL && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == MD && var5 == ITAL && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MD && var5 == NORV && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == MD && var5 == NORV && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MD && var5 == PORT && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == MD && var5 == PORT && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MD && var5 == SUED && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == MD && var5 == SUED && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MD && var5 == SUIS && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == MD && var5 == SUIS && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MD && var5 == YOUG && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == MD && var5 == YOUG && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MD && var5 == MAGH && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == MD && var5 == TURQ && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == MD && var5 == TURQ && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MD && var5 == ARGE && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == MD && var5 == ARGE && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MD && var5 == BRES && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == MD && var5 == BRES && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MD && var5 == CHIL && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == MD && var5 == CHIL && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MD && var5 == COLO && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == MD && var5 == COLO && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MD && var5 == AFSU && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == MD && var5 == AFSU && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MD && var5 == MARO && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == MD && var5 == ISRA && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == MD && var5 == ISRA && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MD && var5 == HONG && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == MD && var5 == HONG && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MD && var5 == TCHE && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == MD && var5 == TCHE && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MD && var5 == POLO && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == MD && var5 == POLO && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MD && var5 == SLVQ && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == MD && var5 == SLVQ && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MD && var5 == JAPO && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == MD && var5 == JAPO && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MD && var5 == TAIW && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == MD && var5 == TAIW && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MD && var5 == AUST && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == MD && var5 == AUST && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MD && var5 == URUG && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == MD && var5 == URUG && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MD && var5 == DAIB && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == MD && var5 == DAIC && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == MD && var5 == DAIC && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MD && var5 == DAID && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == MD && var5 == DAID && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MD && var5 == DAIF && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == MD && var5 == DAIF && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MD && var5 == EUOR && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == MD && var5 == EUOR && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MD && var5 == CETI && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == ME && var5 == FRAN && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == ME && var5 == FRAN && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == ME && var5 == DOTO && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == ME && var5 == DOTO && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == ME && var5 == ALLE && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == ME && var5 == ALLE && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == ME && var5 == AUTR && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == ME && var5 == AUTR && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == ME && var5 == BELG && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == ME && var5 == BELG && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == ME && var5 == DANE && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == ME && var5 == DANE && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == ME && var5 == ESPA && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == ME && var5 == ESPA && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == ME && var5 == FINL && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == ME && var5 == FINL && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == ME && var5 == GRBR && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == ME && var5 == GRBR && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == ME && var5 == GREC && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == ME && var5 == GREC && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == ME && var5 == HOLL && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == ME && var5 == HOLL && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == ME && var5 == IRLA && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == ME && var5 == IRLA && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == ME && var5 == ISLA && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == ME && var5 == ISLA && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == ME && var5 == ITAL && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == ME && var5 == ITAL && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == ME && var5 == NORV && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == ME && var5 == NORV && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == ME && var5 == PORT && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == ME && var5 == PORT && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == ME && var5 == SUED && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == ME && var5 == SUED && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == ME && var5 == SUIS && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == ME && var5 == SUIS && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == ME && var5 == YOUG && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == ME && var5 == YOUG && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == ME && var5 == MAGH && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == ME && var5 == TURQ && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == ME && var5 == TURQ && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == ME && var5 == ARGE && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == ME && var5 == ARGE && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == ME && var5 == BRES && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == ME && var5 == BRES && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == ME && var5 == CHIL && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == ME && var5 == CHIL && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == ME && var5 == COLO && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == ME && var5 == COLO && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == ME && var5 == AFSU && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == ME && var5 == AFSU && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == ME && var5 == MARO && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == ME && var5 == ISRA && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == ME && var5 == ISRA && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == ME && var5 == HONG && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == ME && var5 == HONG && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == ME && var5 == TCHE && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == ME && var5 == TCHE && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == ME && var5 == POLO && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == ME && var5 == POLO && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == ME && var5 == SLVQ && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == ME && var5 == SLVQ && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == ME && var5 == JAPO && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == ME && var5 == JAPO && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == ME && var5 == TAIW && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == ME && var5 == TAIW && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == ME && var5 == AUST && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == ME && var5 == AUST && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == ME && var5 == URUG && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == ME && var5 == URUG && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == ME && var5 == DAIB && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == ME && var5 == DAIC && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == ME && var5 == DAIC && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == ME && var5 == DAID && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == ME && var5 == DAID && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == ME && var5 == DAIF && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == ME && var5 == DAIF && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == ME && var5 == EUOR && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == ME && var5 == EUOR && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == ME && var5 == CETI && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MF && var5 == FRAN && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MF && var5 == FRAN && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MF && var5 == DOTO && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MF && var5 == DOTO && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MF && var5 == ALLE && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MF && var5 == ALLE && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MF && var5 == AUTR && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MF && var5 == AUTR && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MF && var5 == BELG && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MF && var5 == BELG && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MF && var5 == DANE && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MF && var5 == DANE && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MF && var5 == ESPA && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MF && var5 == ESPA && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MF && var5 == FINL && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MF && var5 == FINL && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MF && var5 == GRBR && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MF && var5 == GRBR && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MF && var5 == GREC && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MF && var5 == GREC && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MF && var5 == HOLL && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MF && var5 == HOLL && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MF && var5 == IRLA && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MF && var5 == IRLA && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MF && var5 == ISLA && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MF && var5 == ISLA && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MF && var5 == ITAL && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MF && var5 == ITAL && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MF && var5 == NORV && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MF && var5 == NORV && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MF && var5 == PORT && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MF && var5 == PORT && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MF && var5 == SUED && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MF && var5 == SUED && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MF && var5 == SUIS && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MF && var5 == SUIS && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MF && var5 == YOUG && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MF && var5 == YOUG && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MF && var5 == MAGH && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MF && var5 == TURQ && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MF && var5 == TURQ && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MF && var5 == ARGE && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MF && var5 == ARGE && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MF && var5 == BRES && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MF && var5 == BRES && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MF && var5 == CHIL && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MF && var5 == CHIL && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MF && var5 == COLO && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MF && var5 == COLO && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MF && var5 == AFSU && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MF && var5 == AFSU && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MF && var5 == MARO && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MF && var5 == ISRA && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MF && var5 == ISRA && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MF && var5 == HONG && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MF && var5 == HONG && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MF && var5 == TCHE && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MF && var5 == TCHE && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MF && var5 == POLO && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MF && var5 == POLO && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MF && var5 == SLVQ && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MF && var5 == SLVQ && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MF && var5 == JAPO && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MF && var5 == JAPO && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MF && var5 == TAIW && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MF && var5 == TAIW && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MF && var5 == AUST && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MF && var5 == AUST && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MF && var5 == URUG && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MF && var5 == URUG && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MF && var5 == DAIB && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MF && var5 == DAIC && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MF && var5 == DAIC && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MF && var5 == DAID && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MF && var5 == DAID && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MF && var5 == DAIF && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MF && var5 == DAIF && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MF && var5 == EUOR && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MF && var5 == EUOR && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MF && var5 == CETI && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MJ && var5 == FRAN && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MJ && var5 == FRAN && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MJ && var5 == DOTO && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MJ && var5 == DOTO && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MJ && var5 == ALLE && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MJ && var5 == ALLE && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MJ && var5 == AUTR && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MJ && var5 == AUTR && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MJ && var5 == BELG && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MJ && var5 == BELG && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MJ && var5 == DANE && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MJ && var5 == DANE && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MJ && var5 == ESPA && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MJ && var5 == ESPA && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MJ && var5 == FINL && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MJ && var5 == FINL && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MJ && var5 == GRBR && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MJ && var5 == GRBR && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MJ && var5 == GREC && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MJ && var5 == GREC && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MJ && var5 == HOLL && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MJ && var5 == HOLL && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MJ && var5 == IRLA && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MJ && var5 == IRLA && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MJ && var5 == ISLA && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MJ && var5 == ISLA && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MJ && var5 == ITAL && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MJ && var5 == ITAL && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MJ && var5 == NORV && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MJ && var5 == NORV && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MJ && var5 == PORT && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MJ && var5 == PORT && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MJ && var5 == SUED && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MJ && var5 == SUED && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MJ && var5 == SUIS && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MJ && var5 == SUIS && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MJ && var5 == YOUG && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MJ && var5 == YOUG && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MJ && var5 == MAGH && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MJ && var5 == TURQ && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MJ && var5 == TURQ && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MJ && var5 == ARGE && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MJ && var5 == ARGE && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MJ && var5 == BRES && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MJ && var5 == BRES && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MJ && var5 == CHIL && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MJ && var5 == CHIL && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MJ && var5 == COLO && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MJ && var5 == COLO && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MJ && var5 == AFSU && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MJ && var5 == AFSU && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MJ && var5 == MARO && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MJ && var5 == ISRA && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MJ && var5 == ISRA && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MJ && var5 == HONG && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MJ && var5 == HONG && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MJ && var5 == TCHE && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MJ && var5 == TCHE && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MJ && var5 == POLO && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MJ && var5 == POLO && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MJ && var5 == SLVQ && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MJ && var5 == SLVQ && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MJ && var5 == JAPO && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MJ && var5 == JAPO && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MJ && var5 == TAIW && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MJ && var5 == TAIW && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MJ && var5 == AUST && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MJ && var5 == AUST && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MJ && var5 == URUG && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MJ && var5 == URUG && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MJ && var5 == DAIB && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MJ && var5 == DAIC && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MJ && var5 == DAIC && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MJ && var5 == DAID && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MJ && var5 == DAID && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MJ && var5 == DAIF && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MJ && var5 == DAIF && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MJ && var5 == EUOR && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MJ && var5 == EUOR && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MJ && var5 == CETI && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MK && var5 == FRAN && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MK && var5 == FRAN && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MK && var5 == DOTO && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MK && var5 == DOTO && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MK && var5 == ALLE && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MK && var5 == ALLE && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MK && var5 == AUTR && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MK && var5 == AUTR && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MK && var5 == BELG && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MK && var5 == BELG && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MK && var5 == DANE && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MK && var5 == DANE && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MK && var5 == ESPA && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MK && var5 == ESPA && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MK && var5 == FINL && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MK && var5 == FINL && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MK && var5 == GRBR && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MK && var5 == GRBR && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MK && var5 == GREC && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MK && var5 == GREC && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MK && var5 == HOLL && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MK && var5 == HOLL && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MK && var5 == IRLA && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MK && var5 == IRLA && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MK && var5 == ISLA && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MK && var5 == ISLA && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MK && var5 == ITAL && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MK && var5 == ITAL && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MK && var5 == NORV && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MK && var5 == NORV && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MK && var5 == PORT && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MK && var5 == PORT && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MK && var5 == SUED && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MK && var5 == SUED && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MK && var5 == SUIS && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MK && var5 == SUIS && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MK && var5 == YOUG && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MK && var5 == YOUG && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MK && var5 == MAGH && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MK && var5 == TURQ && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MK && var5 == TURQ && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MK && var5 == ARGE && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MK && var5 == ARGE && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MK && var5 == BRES && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MK && var5 == BRES && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MK && var5 == CHIL && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MK && var5 == CHIL && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MK && var5 == COLO && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MK && var5 == COLO && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MK && var5 == AFSU && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MK && var5 == AFSU && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MK && var5 == MARO && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MK && var5 == ISRA && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MK && var5 == ISRA && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MK && var5 == HONG && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MK && var5 == HONG && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MK && var5 == TCHE && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MK && var5 == TCHE && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MK && var5 == POLO && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MK && var5 == POLO && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MK && var5 == SLVQ && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MK && var5 == SLVQ && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MK && var5 == JAPO && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MK && var5 == JAPO && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MK && var5 == TAIW && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MK && var5 == TAIW && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MK && var5 == AUST && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MK && var5 == AUST && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MK && var5 == URUG && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MK && var5 == URUG && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MK && var5 == DAIB && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MK && var5 == DAIC && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MK && var5 == DAIC && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MK && var5 == DAID && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MK && var5 == DAID && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MK && var5 == DAIF && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MK && var5 == DAIF && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MK && var5 == EUOR && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MK && var5 == EUOR && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MK && var5 == CETI && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == ML && var5 == FRAN && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == ML && var5 == FRAN && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == ML && var5 == DOTO && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == ML && var5 == DOTO && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == ML && var5 == ALLE && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == ML && var5 == ALLE && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == ML && var5 == AUTR && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == ML && var5 == AUTR && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == ML && var5 == BELG && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == ML && var5 == BELG && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == ML && var5 == DANE && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == ML && var5 == DANE && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == ML && var5 == ESPA && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == ML && var5 == ESPA && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == ML && var5 == FINL && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == ML && var5 == FINL && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == ML && var5 == GRBR && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == ML && var5 == GRBR && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == ML && var5 == GREC && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == ML && var5 == GREC && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == ML && var5 == HOLL && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == ML && var5 == HOLL && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == ML && var5 == IRLA && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == ML && var5 == IRLA && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == ML && var5 == ISLA && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == ML && var5 == ISLA && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == ML && var5 == ITAL && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == ML && var5 == ITAL && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == ML && var5 == NORV && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == ML && var5 == NORV && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == ML && var5 == PORT && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == ML && var5 == PORT && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == ML && var5 == SUED && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == ML && var5 == SUED && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == ML && var5 == SUIS && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == ML && var5 == SUIS && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == ML && var5 == YOUG && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == ML && var5 == YOUG && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == ML && var5 == MAGH && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == ML && var5 == TURQ && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == ML && var5 == TURQ && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == ML && var5 == ARGE && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == ML && var5 == ARGE && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == ML && var5 == BRES && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == ML && var5 == BRES && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == ML && var5 == CHIL && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == ML && var5 == CHIL && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == ML && var5 == COLO && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == ML && var5 == COLO && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == ML && var5 == AFSU && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == ML && var5 == AFSU && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == ML && var5 == MARO && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == ML && var5 == ISRA && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == ML && var5 == ISRA && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == ML && var5 == HONG && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == ML && var5 == HONG && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == ML && var5 == TCHE && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == ML && var5 == TCHE && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == ML && var5 == POLO && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == ML && var5 == POLO && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == ML && var5 == SLVQ && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == ML && var5 == SLVQ && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == ML && var5 == JAPO && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == ML && var5 == JAPO && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == ML && var5 == TAIW && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == ML && var5 == TAIW && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == ML && var5 == AUST && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == ML && var5 == AUST && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == ML && var5 == URUG && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == ML && var5 == URUG && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == ML && var5 == DAIB && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == ML && var5 == DAIC && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == ML && var5 == DAIC && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == ML && var5 == DAID && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == ML && var5 == DAID && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == ML && var5 == DAIF && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == ML && var5 == DAIF && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == ML && var5 == EUOR && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == ML && var5 == EUOR && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == ML && var5 == CETI && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MM && var5 == FRAN && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == MM && var5 == FRAN && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MM && var5 == DOTO && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == MM && var5 == DOTO && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MM && var5 == ALLE && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == MM && var5 == ALLE && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MM && var5 == AUTR && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == MM && var5 == AUTR && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MM && var5 == BELG && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == MM && var5 == BELG && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MM && var5 == DANE && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == MM && var5 == DANE && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MM && var5 == ESPA && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == MM && var5 == ESPA && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MM && var5 == FINL && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == MM && var5 == FINL && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MM && var5 == GRBR && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == MM && var5 == GRBR && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MM && var5 == GREC && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == MM && var5 == GREC && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MM && var5 == HOLL && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == MM && var5 == HOLL && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MM && var5 == IRLA && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == MM && var5 == IRLA && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MM && var5 == ISLA && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == MM && var5 == ISLA && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MM && var5 == ITAL && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == MM && var5 == ITAL && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MM && var5 == NORV && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == MM && var5 == NORV && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MM && var5 == PORT && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == MM && var5 == PORT && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MM && var5 == SUED && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == MM && var5 == SUED && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MM && var5 == SUIS && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == MM && var5 == SUIS && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MM && var5 == YOUG && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == MM && var5 == YOUG && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MM && var5 == MAGH && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == MM && var5 == TURQ && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == MM && var5 == TURQ && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MM && var5 == ARGE && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == MM && var5 == ARGE && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MM && var5 == BRES && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == MM && var5 == BRES && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MM && var5 == CHIL && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == MM && var5 == CHIL && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MM && var5 == COLO && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == MM && var5 == COLO && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MM && var5 == AFSU && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == MM && var5 == AFSU && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MM && var5 == MARO && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == MM && var5 == ISRA && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == MM && var5 == ISRA && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MM && var5 == HONG && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == MM && var5 == HONG && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MM && var5 == TCHE && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == MM && var5 == TCHE && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MM && var5 == POLO && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == MM && var5 == POLO && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MM && var5 == SLVQ && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == MM && var5 == SLVQ && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MM && var5 == JAPO && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == MM && var5 == JAPO && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MM && var5 == TAIW && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == MM && var5 == TAIW && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MM && var5 == AUST && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == MM && var5 == AUST && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MM && var5 == URUG && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == MM && var5 == URUG && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MM && var5 == DAIB && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == MM && var5 == DAIC && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == MM && var5 == DAIC && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MM && var5 == DAID && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == MM && var5 == DAID && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MM && var5 == DAIF && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == MM && var5 == DAIF && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MM && var5 == EUOR && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == MM && var5 == EUOR && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == MM && var5 == CETI && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == MS && var5 == FRAN && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E1 && var3 == MS && var5 == DOTO && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E1 && var3 == MS && var5 == ALLE && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E1 && var3 == MS && var5 == AUTR && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E1 && var3 == MS && var5 == BELG && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E1 && var3 == MS && var5 == DANE && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E1 && var3 == MS && var5 == ESPA && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E1 && var3 == MS && var5 == FINL && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E1 && var3 == MS && var5 == GRBR && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E1 && var3 == MS && var5 == GREC && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E1 && var3 == MS && var5 == HOLL && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E1 && var3 == MS && var5 == IRLA && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E1 && var3 == MS && var5 == ISLA && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E1 && var3 == MS && var5 == ITAL && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E1 && var3 == MS && var5 == NORV && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E1 && var3 == MS && var5 == PORT && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E1 && var3 == MS && var5 == SUED && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E1 && var3 == MS && var5 == SUIS && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E1 && var3 == MS && var5 == YOUG && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E1 && var3 == MS && var5 == MAGH && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E1 && var3 == MS && var5 == TURQ && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E1 && var3 == MS && var5 == ARGE && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E1 && var3 == MS && var5 == BRES && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E1 && var3 == MS && var5 == CHIL && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E1 && var3 == MS && var5 == COLO && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E1 && var3 == MS && var5 == AFSU && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E1 && var3 == MS && var5 == MARO && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E1 && var3 == MS && var5 == ISRA && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E1 && var3 == MS && var5 == HONG && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E1 && var3 == MS && var5 == TCHE && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E1 && var3 == MS && var5 == POLO && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E1 && var3 == MS && var5 == SLVQ && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E1 && var3 == MS && var5 == JAPO && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E1 && var3 == MS && var5 == TAIW && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E1 && var3 == MS && var5 == AUST && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E1 && var3 == MS && var5 == URUG && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E1 && var3 == MS && var5 == DAIB && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E1 && var3 == MS && var5 == DAIC && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E1 && var3 == MS && var5 == DAID && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E1 && var3 == MS && var5 == DAIF && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E1 && var3 == MS && var5 == EUOR && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E1 && var3 == MS && var5 == CETI && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E1 && var3 == MT && var5 == FRAN && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MT && var5 == FRAN && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MT && var5 == DOTO && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MT && var5 == DOTO && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MT && var5 == ALLE && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MT && var5 == ALLE && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MT && var5 == AUTR && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MT && var5 == AUTR && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MT && var5 == BELG && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MT && var5 == BELG && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MT && var5 == DANE && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MT && var5 == DANE && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MT && var5 == ESPA && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MT && var5 == ESPA && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MT && var5 == FINL && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MT && var5 == FINL && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MT && var5 == GRBR && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MT && var5 == GRBR && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MT && var5 == GREC && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MT && var5 == GREC && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MT && var5 == HOLL && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MT && var5 == HOLL && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MT && var5 == IRLA && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MT && var5 == IRLA && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MT && var5 == ISLA && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MT && var5 == ISLA && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MT && var5 == ITAL && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MT && var5 == ITAL && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MT && var5 == NORV && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MT && var5 == NORV && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MT && var5 == PORT && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MT && var5 == PORT && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MT && var5 == SUED && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MT && var5 == SUED && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MT && var5 == SUIS && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MT && var5 == SUIS && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MT && var5 == YOUG && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MT && var5 == YOUG && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MT && var5 == MAGH && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MT && var5 == TURQ && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MT && var5 == TURQ && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MT && var5 == ARGE && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MT && var5 == ARGE && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MT && var5 == BRES && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MT && var5 == BRES && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MT && var5 == CHIL && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MT && var5 == CHIL && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MT && var5 == COLO && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MT && var5 == COLO && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MT && var5 == AFSU && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MT && var5 == AFSU && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MT && var5 == MARO && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MT && var5 == ISRA && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MT && var5 == ISRA && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MT && var5 == HONG && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MT && var5 == HONG && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MT && var5 == TCHE && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MT && var5 == TCHE && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MT && var5 == POLO && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MT && var5 == POLO && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MT && var5 == SLVQ && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MT && var5 == SLVQ && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MT && var5 == JAPO && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MT && var5 == JAPO && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MT && var5 == TAIW && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MT && var5 == TAIW && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MT && var5 == AUST && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MT && var5 == AUST && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MT && var5 == URUG && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MT && var5 == URUG && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MT && var5 == DAIB && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MT && var5 == DAIC && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MT && var5 == DAIC && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MT && var5 == DAID && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MT && var5 == DAID && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MT && var5 == DAIF && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MT && var5 == DAIF && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MT && var5 == EUOR && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MT && var5 == EUOR && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MT && var5 == CETI && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MU && var5 == FRAN && var11 == SSABS && var94 == EU93 ) || ( var2 == E1 && var3 == MU && var5 == DOTO && var11 == SSABS && var94 == EU93 ) || ( var2 == E1 && var3 == MU && var5 == ALLE && var11 == SSABS && var94 == EU93 ) || ( var2 == E1 && var3 == MU && var5 == AUTR && var11 == SSABS && var94 == EU93 ) || ( var2 == E1 && var3 == MU && var5 == BELG && var11 == SSABS && var94 == EU93 ) || ( var2 == E1 && var3 == MU && var5 == DANE && var11 == SSABS && var94 == EU93 ) || ( var2 == E1 && var3 == MU && var5 == ESPA && var11 == SSABS && var94 == EU93 ) || ( var2 == E1 && var3 == MU && var5 == FINL && var11 == SSABS && var94 == EU93 ) || ( var2 == E1 && var3 == MU && var5 == GRBR && var11 == SSABS && var94 == EU93 ) || ( var2 == E1 && var3 == MU && var5 == GREC && var11 == SSABS && var94 == EU93 ) || ( var2 == E1 && var3 == MU && var5 == HOLL && var11 == SSABS && var94 == EU93 ) || ( var2 == E1 && var3 == MU && var5 == IRLA && var11 == SSABS && var94 == EU93 ) || ( var2 == E1 && var3 == MU && var5 == ISLA && var11 == SSABS && var94 == EU93 ) || ( var2 == E1 && var3 == MU && var5 == ITAL && var11 == SSABS && var94 == EU93 ) || ( var2 == E1 && var3 == MU && var5 == NORV && var11 == SSABS && var94 == EU93 ) || ( var2 == E1 && var3 == MU && var5 == PORT && var11 == SSABS && var94 == EU93 ) || ( var2 == E1 && var3 == MU && var5 == SUED && var11 == SSABS && var94 == EU93 ) || ( var2 == E1 && var3 == MU && var5 == SUIS && var11 == SSABS && var94 == EU93 ) || ( var2 == E1 && var3 == MU && var5 == YOUG && var11 == SSABS && var94 == EU93 ) ) || ( ( var2 == E1 && var3 == MU && var5 == MAGH && var11 == SSABS && var94 == EU93 ) || ( var2 == E1 && var3 == MU && var5 == TURQ && var11 == SSABS && var94 == EU93 ) || ( var2 == E1 && var3 == MU && var5 == ARGE && var11 == SSABS && var94 == EU93 ) || ( var2 == E1 && var3 == MU && var5 == BRES && var11 == SSABS && var94 == EU93 ) || ( var2 == E1 && var3 == MU && var5 == CHIL && var11 == SSABS && var94 == EU93 ) || ( var2 == E1 && var3 == MU && var5 == COLO && var11 == SSABS && var94 == EU93 ) || ( var2 == E1 && var3 == MU && var5 == AFSU && var11 == SSABS && var94 == EU93 ) || ( var2 == E1 && var3 == MU && var5 == MARO && var11 == SSABS && var94 == EU93 ) || ( var2 == E1 && var3 == MU && var5 == ISRA && var11 == SSABS && var94 == EU93 ) || ( var2 == E1 && var3 == MU && var5 == HONG && var11 == SSABS && var94 == EU93 ) || ( var2 == E1 && var3 == MU && var5 == TCHE && var11 == SSABS && var94 == EU93 ) || ( var2 == E1 && var3 == MU && var5 == POLO && var11 == SSABS && var94 == EU93 ) || ( var2 == E1 && var3 == MU && var5 == SLVQ && var11 == SSABS && var94 == EU93 ) || ( var2 == E1 && var3 == MU && var5 == JAPO && var11 == SSABS && var94 == EU93 ) || ( var2 == E1 && var3 == MU && var5 == TAIW && var11 == SSABS && var94 == EU93 ) || ( var2 == E1 && var3 == MU && var5 == AUST && var11 == SSABS && var94 == EU93 ) || ( var2 == E1 && var3 == MU && var5 == URUG && var11 == SSABS && var94 == EU93 ) || ( var2 == E1 && var3 == MU && var5 == DAIB && var11 == SSABS && var94 == EU93 ) || ( var2 == E1 && var3 == MU && var5 == DAIC && var11 == SSABS && var94 == EU93 ) || ( var2 == E1 && var3 == MU && var5 == DAID && var11 == SSABS && var94 == EU93 ) || ( var2 == E1 && var3 == MU && var5 == DAIF && var11 == SSABS && var94 == EU93 ) || ( var2 == E1 && var3 == MU && var5 == EUOR && var11 == SSABS && var94 == EU93 ) || ( var2 == E1 && var3 == MU && var5 == CETI && var11 == SSABS && var94 == EU93 ) || ( var2 == E1 && var3 == MN && var5 == FRAN && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MN && var5 == FRAN && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MN && var5 == DOTO && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MN && var5 == DOTO && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MN && var5 == ALLE && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MN && var5 == ALLE && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MN && var5 == AUTR && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MN && var5 == AUTR && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MN && var5 == BELG && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MN && var5 == BELG && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MN && var5 == DANE && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MN && var5 == DANE && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MN && var5 == ESPA && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MN && var5 == ESPA && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MN && var5 == FINL && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MN && var5 == FINL && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MN && var5 == GRBR && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MN && var5 == GRBR && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MN && var5 == GREC && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MN && var5 == GREC && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MN && var5 == HOLL && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MN && var5 == HOLL && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MN && var5 == IRLA && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MN && var5 == IRLA && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MN && var5 == ISLA && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MN && var5 == ISLA && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MN && var5 == ITAL && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MN && var5 == ITAL && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MN && var5 == NORV && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MN && var5 == NORV && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MN && var5 == PORT && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MN && var5 == PORT && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MN && var5 == SUED && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MN && var5 == SUED && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MN && var5 == SUIS && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MN && var5 == SUIS && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MN && var5 == YOUG && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MN && var5 == YOUG && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MN && var5 == MAGH && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MN && var5 == TURQ && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MN && var5 == TURQ && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MN && var5 == ARGE && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MN && var5 == ARGE && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MN && var5 == BRES && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MN && var5 == BRES && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MN && var5 == CHIL && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MN && var5 == CHIL && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MN && var5 == COLO && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MN && var5 == COLO && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MN && var5 == AFSU && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MN && var5 == AFSU && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MN && var5 == MARO && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MN && var5 == ISRA && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MN && var5 == ISRA && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MN && var5 == HONG && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MN && var5 == HONG && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MN && var5 == TCHE && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MN && var5 == TCHE && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MN && var5 == POLO && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MN && var5 == POLO && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MN && var5 == SLVQ && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MN && var5 == SLVQ && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MN && var5 == JAPO && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MN && var5 == JAPO && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MN && var5 == TAIW && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MN && var5 == TAIW && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MN && var5 == AUST && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MN && var5 == AUST && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MN && var5 == URUG && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MN && var5 == URUG && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MN && var5 == DAIB && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MN && var5 == DAIC && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MN && var5 == DAIC && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MN && var5 == DAID && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MN && var5 == DAID && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MN && var5 == DAIF && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MN && var5 == DAIF && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MN && var5 == EUOR && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MN && var5 == EUOR && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MN && var5 == CETI && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MH && var5 == FRAN && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MH && var5 == DOTO && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MH && var5 == ALLE && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MH && var5 == AUTR && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MH && var5 == BELG && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MH && var5 == DANE && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MH && var5 == ESPA && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MH && var5 == FINL && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MH && var5 == GRBR && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MH && var5 == GREC && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MH && var5 == HOLL && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MH && var5 == IRLA && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MH && var5 == ISLA && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MH && var5 == ITAL && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MH && var5 == NORV && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MH && var5 == PORT && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MH && var5 == SUED && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MH && var5 == SUIS && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MH && var5 == YOUG && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MH && var5 == MAGH && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MH && var5 == TURQ && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MH && var5 == ARGE && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MH && var5 == BRES && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MH && var5 == CHIL && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MH && var5 == COLO && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MH && var5 == AFSU && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MH && var5 == MARO && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MH && var5 == ISRA && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MH && var5 == HONG && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MH && var5 == TCHE && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MH && var5 == POLO && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MH && var5 == SLVQ && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MH && var5 == JAPO && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MH && var5 == TAIW && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MH && var5 == AUST && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MH && var5 == URUG && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MH && var5 == DAIB && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MH && var5 == DAIC && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MH && var5 == DAID && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MH && var5 == DAIF && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MH && var5 == EUOR && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MH && var5 == CETI && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MG && var5 == FRAN && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MG && var5 == FRAN && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MG && var5 == DOTO && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MG && var5 == DOTO && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MG && var5 == ALLE && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MG && var5 == ALLE && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MG && var5 == AUTR && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MG && var5 == AUTR && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MG && var5 == BELG && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MG && var5 == BELG && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MG && var5 == DANE && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MG && var5 == DANE && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MG && var5 == ESPA && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MG && var5 == ESPA && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MG && var5 == FINL && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MG && var5 == FINL && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MG && var5 == GRBR && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MG && var5 == GRBR && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MG && var5 == GREC && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MG && var5 == GREC && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MG && var5 == HOLL && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MG && var5 == HOLL && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MG && var5 == IRLA && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MG && var5 == IRLA && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MG && var5 == ISLA && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MG && var5 == ISLA && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MG && var5 == ITAL && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MG && var5 == ITAL && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MG && var5 == NORV && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MG && var5 == NORV && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MG && var5 == PORT && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MG && var5 == PORT && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MG && var5 == SUED && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MG && var5 == SUED && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MG && var5 == SUIS && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MG && var5 == SUIS && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MG && var5 == YOUG && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MG && var5 == YOUG && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MG && var5 == MAGH && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MG && var5 == TURQ && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MG && var5 == TURQ && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MG && var5 == ARGE && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MG && var5 == ARGE && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MG && var5 == BRES && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MG && var5 == BRES && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MG && var5 == CHIL && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MG && var5 == CHIL && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MG && var5 == COLO && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MG && var5 == COLO && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MG && var5 == AFSU && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MG && var5 == AFSU && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MG && var5 == MARO && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MG && var5 == ISRA && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MG && var5 == ISRA && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MG && var5 == HONG && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MG && var5 == HONG && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MG && var5 == TCHE && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MG && var5 == TCHE && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MG && var5 == POLO && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MG && var5 == POLO && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MG && var5 == SLVQ && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MG && var5 == SLVQ && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MG && var5 == JAPO && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MG && var5 == JAPO && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MG && var5 == TAIW && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MG && var5 == TAIW && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MG && var5 == AUST && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MG && var5 == AUST && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MG && var5 == URUG && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MG && var5 == URUG && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MG && var5 == DAIB && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MG && var5 == DAIC && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MG && var5 == DAIC && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MG && var5 == DAID && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MG && var5 == DAID && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MG && var5 == DAIF && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MG && var5 == DAIF && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MG && var5 == EUOR && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MG && var5 == EUOR && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MG && var5 == CETI && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MY && var5 == FRAN && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MY && var5 == FRAN && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MY && var5 == DOTO && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MY && var5 == DOTO && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MY && var5 == ALLE && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MY && var5 == ALLE && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MY && var5 == AUTR && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MY && var5 == AUTR && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MY && var5 == BELG && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MY && var5 == BELG && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MY && var5 == DANE && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MY && var5 == DANE && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MY && var5 == ESPA && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MY && var5 == ESPA && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MY && var5 == FINL && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MY && var5 == FINL && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MY && var5 == GRBR && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MY && var5 == GRBR && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MY && var5 == GREC && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MY && var5 == GREC && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MY && var5 == HOLL && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MY && var5 == HOLL && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MY && var5 == IRLA && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MY && var5 == IRLA && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MY && var5 == ISLA && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MY && var5 == ISLA && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MY && var5 == ITAL && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MY && var5 == ITAL && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MY && var5 == NORV && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MY && var5 == NORV && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MY && var5 == PORT && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MY && var5 == PORT && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MY && var5 == SUED && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MY && var5 == SUED && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MY && var5 == SUIS && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MY && var5 == SUIS && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MY && var5 == YOUG && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MY && var5 == YOUG && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MY && var5 == MAGH && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MY && var5 == TURQ && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MY && var5 == TURQ && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MY && var5 == ARGE && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MY && var5 == ARGE && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MY && var5 == BRES && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MY && var5 == BRES && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MY && var5 == CHIL && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MY && var5 == CHIL && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MY && var5 == COLO && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MY && var5 == COLO && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MY && var5 == AFSU && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MY && var5 == AFSU && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MY && var5 == MARO && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MY && var5 == ISRA && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MY && var5 == ISRA && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MY && var5 == HONG && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MY && var5 == HONG && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MY && var5 == TCHE && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MY && var5 == TCHE && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MY && var5 == POLO && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MY && var5 == POLO && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MY && var5 == SLVQ && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MY && var5 == SLVQ && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MY && var5 == JAPO && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MY && var5 == JAPO && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MY && var5 == TAIW && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MY && var5 == TAIW && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MY && var5 == AUST && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MY && var5 == AUST && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MY && var5 == URUG && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MY && var5 == URUG && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MY && var5 == DAIB && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MY && var5 == DAIC && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MY && var5 == DAIC && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MY && var5 == DAID && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MY && var5 == DAID && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MY && var5 == DAIF && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MY && var5 == DAIF && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MY && var5 == EUOR && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == MY && var5 == EUOR && var11 == ABS && var94 == EU96 ) || ( var2 == E1 && var3 == MY && var5 == CETI && var11 == SSABS && var94 == EU96 ) || ( var2 == E1 && var3 == NM2K && var5 == FRAN && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM2K && var5 == FRAN && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM2K && var5 == DOTO && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM2K && var5 == DOTO && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM2K && var5 == ALLE && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM2K && var5 == ALLE && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM2K && var5 == AUTR && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM2K && var5 == AUTR && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM2K && var5 == BELG && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM2K && var5 == BELG && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM2K && var5 == DANE && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM2K && var5 == DANE && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM2K && var5 == ESPA && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM2K && var5 == ESPA && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM2K && var5 == FINL && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM2K && var5 == FINL && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM2K && var5 == GRBR && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM2K && var5 == GRBR && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM2K && var5 == GREC && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM2K && var5 == GREC && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM2K && var5 == HOLL && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM2K && var5 == HOLL && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM2K && var5 == IRLA && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM2K && var5 == IRLA && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM2K && var5 == ISLA && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM2K && var5 == ISLA && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM2K && var5 == ITAL && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM2K && var5 == ITAL && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM2K && var5 == NORV && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM2K && var5 == NORV && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM2K && var5 == PORT && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM2K && var5 == PORT && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM2K && var5 == SUED && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM2K && var5 == SUED && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM2K && var5 == SUIS && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM2K && var5 == SUIS && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM2K && var5 == YOUG && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM2K && var5 == YOUG && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM2K && var5 == MAGH && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM2K && var5 == TURQ && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM2K && var5 == TURQ && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM2K && var5 == ARGE && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM2K && var5 == ARGE && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM2K && var5 == BRES && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM2K && var5 == BRES && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM2K && var5 == CHIL && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM2K && var5 == CHIL && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM2K && var5 == COLO && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM2K && var5 == COLO && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM2K && var5 == AFSU && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM2K && var5 == AFSU && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM2K && var5 == MARO && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM2K && var5 == ISRA && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM2K && var5 == ISRA && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM2K && var5 == HONG && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM2K && var5 == HONG && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM2K && var5 == TCHE && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM2K && var5 == TCHE && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM2K && var5 == POLO && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM2K && var5 == POLO && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM2K && var5 == SLVQ && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM2K && var5 == SLVQ && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM2K && var5 == JAPO && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM2K && var5 == JAPO && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM2K && var5 == TAIW && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM2K && var5 == TAIW && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM2K && var5 == AUST && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM2K && var5 == AUST && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM2K && var5 == URUG && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM2K && var5 == URUG && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM2K && var5 == DAIB && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM2K && var5 == DAIC && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM2K && var5 == DAIC && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM2K && var5 == DAID && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM2K && var5 == DAID && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM2K && var5 == DAIF && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM2K && var5 == DAIF && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM2K && var5 == EUOR && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM2K && var5 == EUOR && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM2K && var5 == CETI && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM0C && var5 == FRAN && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM0C && var5 == FRAN && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM0C && var5 == DOTO && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM0C && var5 == DOTO && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM0C && var5 == ALLE && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM0C && var5 == ALLE && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM0C && var5 == AUTR && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM0C && var5 == AUTR && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM0C && var5 == BELG && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM0C && var5 == BELG && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM0C && var5 == DANE && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM0C && var5 == DANE && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM0C && var5 == ESPA && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM0C && var5 == ESPA && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM0C && var5 == FINL && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM0C && var5 == FINL && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM0C && var5 == GRBR && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM0C && var5 == GRBR && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM0C && var5 == GREC && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM0C && var5 == GREC && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM0C && var5 == HOLL && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM0C && var5 == HOLL && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM0C && var5 == IRLA && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM0C && var5 == IRLA && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM0C && var5 == ISLA && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM0C && var5 == ISLA && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM0C && var5 == ITAL && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM0C && var5 == ITAL && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM0C && var5 == NORV && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM0C && var5 == NORV && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM0C && var5 == PORT && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM0C && var5 == PORT && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM0C && var5 == SUED && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM0C && var5 == SUED && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM0C && var5 == SUIS && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM0C && var5 == SUIS && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM0C && var5 == YOUG && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM0C && var5 == YOUG && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM0C && var5 == MAGH && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM0C && var5 == TURQ && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM0C && var5 == TURQ && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM0C && var5 == ARGE && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM0C && var5 == ARGE && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM0C && var5 == BRES && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM0C && var5 == BRES && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM0C && var5 == CHIL && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM0C && var5 == CHIL && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM0C && var5 == COLO && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM0C && var5 == COLO && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM0C && var5 == AFSU && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM0C && var5 == AFSU && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM0C && var5 == MARO && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM0C && var5 == ISRA && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM0C && var5 == ISRA && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM0C && var5 == HONG && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM0C && var5 == HONG && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM0C && var5 == TCHE && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM0C && var5 == TCHE && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM0C && var5 == POLO && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM0C && var5 == POLO && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM0C && var5 == SLVQ && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM0C && var5 == SLVQ && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM0C && var5 == JAPO && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM0C && var5 == JAPO && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM0C && var5 == TAIW && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM0C && var5 == TAIW && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM0C && var5 == AUST && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM0C && var5 == AUST && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM0C && var5 == URUG && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM0C && var5 == URUG && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM0C && var5 == DAIB && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM0C && var5 == DAIC && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM0C && var5 == DAIC && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM0C && var5 == DAID && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM0C && var5 == DAID && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM0C && var5 == DAIF && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM0C && var5 == DAIF && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM0C && var5 == EUOR && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM0C && var5 == EUOR && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == NM0C && var5 == CETI && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == ND1G && var5 == FRAN && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == ND1G && var5 == FRAN && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == ND1G && var5 == DOTO && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == ND1G && var5 == DOTO && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == ND1G && var5 == ALLE && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == ND1G && var5 == ALLE && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == ND1G && var5 == AUTR && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == ND1G && var5 == AUTR && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == ND1G && var5 == BELG && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == ND1G && var5 == BELG && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == ND1G && var5 == DANE && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == ND1G && var5 == DANE && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == ND1G && var5 == ESPA && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == ND1G && var5 == ESPA && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == ND1G && var5 == FINL && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == ND1G && var5 == FINL && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == ND1G && var5 == GRBR && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == ND1G && var5 == GRBR && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == ND1G && var5 == GREC && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == ND1G && var5 == GREC && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == ND1G && var5 == HOLL && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == ND1G && var5 == HOLL && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == ND1G && var5 == IRLA && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == ND1G && var5 == IRLA && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == ND1G && var5 == ISLA && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == ND1G && var5 == ISLA && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == ND1G && var5 == ITAL && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == ND1G && var5 == ITAL && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == ND1G && var5 == NORV && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == ND1G && var5 == NORV && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == ND1G && var5 == PORT && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == ND1G && var5 == PORT && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == ND1G && var5 == SUED && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == ND1G && var5 == SUED && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == ND1G && var5 == SUIS && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == ND1G && var5 == SUIS && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == ND1G && var5 == YOUG && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == ND1G && var5 == YOUG && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == ND1G && var5 == MAGH && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == ND1G && var5 == TURQ && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == ND1G && var5 == TURQ && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == ND1G && var5 == ARGE && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == ND1G && var5 == ARGE && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == ND1G && var5 == BRES && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == ND1G && var5 == BRES && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == ND1G && var5 == CHIL && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == ND1G && var5 == CHIL && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == ND1G && var5 == COLO && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == ND1G && var5 == COLO && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == ND1G && var5 == AFSU && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == ND1G && var5 == AFSU && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == ND1G && var5 == MARO && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == ND1G && var5 == ISRA && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == ND1G && var5 == ISRA && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == ND1G && var5 == HONG && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == ND1G && var5 == HONG && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == ND1G && var5 == TCHE && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == ND1G && var5 == TCHE && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == ND1G && var5 == POLO && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == ND1G && var5 == POLO && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == ND1G && var5 == SLVQ && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == ND1G && var5 == SLVQ && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == ND1G && var5 == JAPO && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == ND1G && var5 == JAPO && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == ND1G && var5 == TAIW && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == ND1G && var5 == TAIW && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == ND1G && var5 == AUST && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == ND1G && var5 == AUST && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == ND1G && var5 == URUG && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == ND1G && var5 == URUG && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == ND1G && var5 == DAIB && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == ND1G && var5 == DAIC && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == ND1G && var5 == DAIC && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == ND1G && var5 == DAID && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == ND1G && var5 == DAID && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == ND1G && var5 == DAIF && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == ND1G && var5 == DAIF && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == ND1G && var5 == EUOR && var11 == SSABS && var94 == EU00 ) || ( var2 == E1 && var3 == ND1G && var5 == EUOR && var11 == ABS && var94 == EU00 ) || ( var2 == E1 && var3 == ND1G && var5 == CETI && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == M5 && var5 == FRAN && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == M5 && var5 == FRAN && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == M5 && var5 == DOTO && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == M5 && var5 == DOTO && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == M5 && var5 == ALLE && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == M5 && var5 == ALLE && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == M5 && var5 == AUTR && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == M5 && var5 == AUTR && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == M5 && var5 == BELG && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == M5 && var5 == BELG && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == M5 && var5 == DANE && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == M5 && var5 == DANE && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == M5 && var5 == ESPA && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == M5 && var5 == ESPA && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == M5 && var5 == FINL && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == M5 && var5 == FINL && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == M5 && var5 == GRBR && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == M5 && var5 == GRBR && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == M5 && var5 == GREC && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == M5 && var5 == GREC && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == M5 && var5 == HOLL && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == M5 && var5 == HOLL && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == M5 && var5 == IRLA && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == M5 && var5 == IRLA && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == M5 && var5 == ISLA && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == M5 && var5 == ISLA && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == M5 && var5 == ITAL && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == M5 && var5 == ITAL && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == M5 && var5 == NORV && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == M5 && var5 == NORV && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == M5 && var5 == PORT && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == M5 && var5 == PORT && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == M5 && var5 == SUED && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == M5 && var5 == SUED && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == M5 && var5 == SUIS && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == M5 && var5 == SUIS && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == M5 && var5 == YOUG && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == M5 && var5 == YOUG && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == M5 && var5 == MAGH && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == M5 && var5 == TURQ && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == M5 && var5 == TURQ && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == M5 && var5 == ARGE && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == M5 && var5 == ARGE && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == M5 && var5 == BRES && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == M5 && var5 == BRES && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == M5 && var5 == CHIL && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == M5 && var5 == CHIL && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == M5 && var5 == COLO && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == M5 && var5 == COLO && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == M5 && var5 == AFSU && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == M5 && var5 == AFSU && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == M5 && var5 == MARO && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == M5 && var5 == ISRA && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == M5 && var5 == ISRA && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == M5 && var5 == HONG && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == M5 && var5 == HONG && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == M5 && var5 == TCHE && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == M5 && var5 == TCHE && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == M5 && var5 == POLO && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == M5 && var5 == POLO && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == M5 && var5 == SLVQ && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == M5 && var5 == SLVQ && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == M5 && var5 == JAPO && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == M5 && var5 == JAPO && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == M5 && var5 == TAIW && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == M5 && var5 == TAIW && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == M5 && var5 == AUST && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == M5 && var5 == AUST && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == M5 && var5 == URUG && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == M5 && var5 == URUG && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == M5 && var5 == DAIB && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == M5 && var5 == DAIC && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == M5 && var5 == DAIC && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == M5 && var5 == DAID && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == M5 && var5 == DAID && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == M5 && var5 == DAIF && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == M5 && var5 == DAIF && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == M5 && var5 == EUOR && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == M5 && var5 == EUOR && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == M5 && var5 == CETI && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == M6 && var5 == FRAN && var11 == SSABS && var94 == EU93 ) || ( var2 == E2 && var3 == M6 && var5 == DOTO && var11 == SSABS && var94 == EU93 ) || ( var2 == E2 && var3 == M6 && var5 == ALLE && var11 == SSABS && var94 == EU93 ) || ( var2 == E2 && var3 == M6 && var5 == AUTR && var11 == SSABS && var94 == EU93 ) || ( var2 == E2 && var3 == M6 && var5 == BELG && var11 == SSABS && var94 == EU93 ) || ( var2 == E2 && var3 == M6 && var5 == DANE && var11 == SSABS && var94 == EU93 ) || ( var2 == E2 && var3 == M6 && var5 == ESPA && var11 == SSABS && var94 == EU93 ) || ( var2 == E2 && var3 == M6 && var5 == FINL && var11 == SSABS && var94 == EU93 ) || ( var2 == E2 && var3 == M6 && var5 == GRBR && var11 == SSABS && var94 == EU93 ) || ( var2 == E2 && var3 == M6 && var5 == GREC && var11 == SSABS && var94 == EU93 ) || ( var2 == E2 && var3 == M6 && var5 == HOLL && var11 == SSABS && var94 == EU93 ) || ( var2 == E2 && var3 == M6 && var5 == IRLA && var11 == SSABS && var94 == EU93 ) || ( var2 == E2 && var3 == M6 && var5 == ISLA && var11 == SSABS && var94 == EU93 ) || ( var2 == E2 && var3 == M6 && var5 == ITAL && var11 == SSABS && var94 == EU93 ) || ( var2 == E2 && var3 == M6 && var5 == NORV && var11 == SSABS && var94 == EU93 ) || ( var2 == E2 && var3 == M6 && var5 == PORT && var11 == SSABS && var94 == EU93 ) || ( var2 == E2 && var3 == M6 && var5 == SUED && var11 == SSABS && var94 == EU93 ) || ( var2 == E2 && var3 == M6 && var5 == SUIS && var11 == SSABS && var94 == EU93 ) || ( var2 == E2 && var3 == M6 && var5 == YOUG && var11 == SSABS && var94 == EU93 ) || ( var2 == E2 && var3 == M6 && var5 == MAGH && var11 == SSABS && var94 == EU93 ) || ( var2 == E2 && var3 == M6 && var5 == TURQ && var11 == SSABS && var94 == EU93 ) || ( var2 == E2 && var3 == M6 && var5 == ARGE && var11 == SSABS && var94 == EU93 ) || ( var2 == E2 && var3 == M6 && var5 == BRES && var11 == SSABS && var94 == EU93 ) || ( var2 == E2 && var3 == M6 && var5 == CHIL && var11 == SSABS && var94 == EU93 ) || ( var2 == E2 && var3 == M6 && var5 == COLO && var11 == SSABS && var94 == EU93 ) || ( var2 == E2 && var3 == M6 && var5 == AFSU && var11 == SSABS && var94 == EU93 ) || ( var2 == E2 && var3 == M6 && var5 == MARO && var11 == SSABS && var94 == EU93 ) || ( var2 == E2 && var3 == M6 && var5 == ISRA && var11 == SSABS && var94 == EU93 ) || ( var2 == E2 && var3 == M6 && var5 == HONG && var11 == SSABS && var94 == EU93 ) || ( var2 == E2 && var3 == M6 && var5 == TCHE && var11 == SSABS && var94 == EU93 ) || ( var2 == E2 && var3 == M6 && var5 == POLO && var11 == SSABS && var94 == EU93 ) || ( var2 == E2 && var3 == M6 && var5 == SLVQ && var11 == SSABS && var94 == EU93 ) || ( var2 == E2 && var3 == M6 && var5 == JAPO && var11 == SSABS && var94 == EU93 ) || ( var2 == E2 && var3 == M6 && var5 == TAIW && var11 == SSABS && var94 == EU93 ) || ( var2 == E2 && var3 == M6 && var5 == AUST && var11 == SSABS && var94 == EU93 ) || ( var2 == E2 && var3 == M6 && var5 == URUG && var11 == SSABS && var94 == EU93 ) || ( var2 == E2 && var3 == M6 && var5 == DAIB && var11 == SSABS && var94 == EU93 ) || ( var2 == E2 && var3 == M6 && var5 == DAIC && var11 == SSABS && var94 == EU93 ) || ( var2 == E2 && var3 == M6 && var5 == DAID && var11 == SSABS && var94 == EU93 ) || ( var2 == E2 && var3 == M6 && var5 == DAIF && var11 == SSABS && var94 == EU93 ) || ( var2 == E2 && var3 == M6 && var5 == EUOR && var11 == SSABS && var94 == EU93 ) || ( var2 == E2 && var3 == M6 && var5 == CETI && var11 == SSABS && var94 == EU93 ) || ( var2 == E2 && var3 == M7 && var5 == FRAN && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E2 && var3 == M7 && var5 == DOTO && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E2 && var3 == M7 && var5 == ALLE && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E2 && var3 == M7 && var5 == AUTR && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E2 && var3 == M7 && var5 == BELG && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E2 && var3 == M7 && var5 == DANE && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E2 && var3 == M7 && var5 == ESPA && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E2 && var3 == M7 && var5 == FINL && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E2 && var3 == M7 && var5 == GRBR && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E2 && var3 == M7 && var5 == GREC && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E2 && var3 == M7 && var5 == HOLL && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E2 && var3 == M7 && var5 == IRLA && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E2 && var3 == M7 && var5 == ISLA && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E2 && var3 == M7 && var5 == ITAL && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E2 && var3 == M7 && var5 == NORV && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E2 && var3 == M7 && var5 == PORT && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E2 && var3 == M7 && var5 == SUED && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E2 && var3 == M7 && var5 == SUIS && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E2 && var3 == M7 && var5 == YOUG && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E2 && var3 == M7 && var5 == MAGH && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E2 && var3 == M7 && var5 == TURQ && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E2 && var3 == M7 && var5 == ARGE && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E2 && var3 == M7 && var5 == BRES && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E2 && var3 == M7 && var5 == CHIL && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E2 && var3 == M7 && var5 == COLO && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E2 && var3 == M7 && var5 == AFSU && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E2 && var3 == M7 && var5 == MARO && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E2 && var3 == M7 && var5 == ISRA && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E2 && var3 == M7 && var5 == HONG && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E2 && var3 == M7 && var5 == TCHE && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E2 && var3 == M7 && var5 == POLO && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E2 && var3 == M7 && var5 == SLVQ && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E2 && var3 == M7 && var5 == JAPO && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E2 && var3 == M7 && var5 == TAIW && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E2 && var3 == M7 && var5 == AUST && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E2 && var3 == M7 && var5 == URUG && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E2 && var3 == M7 && var5 == DAIB && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E2 && var3 == M7 && var5 == DAIC && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E2 && var3 == M7 && var5 == DAID && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E2 && var3 == M7 && var5 == DAIF && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E2 && var3 == M7 && var5 == EUOR && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E2 && var3 == M7 && var5 == CETI && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E2 && var3 == M8 && var5 == FRAN && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == M8 && var5 == FRAN && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == M8 && var5 == DOTO && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == M8 && var5 == DOTO && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == M8 && var5 == ALLE && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == M8 && var5 == ALLE && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == M8 && var5 == AUTR && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == M8 && var5 == AUTR && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == M8 && var5 == BELG && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == M8 && var5 == BELG && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == M8 && var5 == DANE && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == M8 && var5 == DANE && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == M8 && var5 == ESPA && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == M8 && var5 == ESPA && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == M8 && var5 == FINL && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == M8 && var5 == FINL && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == M8 && var5 == GRBR && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == M8 && var5 == GRBR && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == M8 && var5 == GREC && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == M8 && var5 == GREC && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == M8 && var5 == HOLL && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == M8 && var5 == HOLL && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == M8 && var5 == IRLA && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == M8 && var5 == IRLA && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == M8 && var5 == ISLA && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == M8 && var5 == ISLA && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == M8 && var5 == ITAL && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == M8 && var5 == ITAL && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == M8 && var5 == NORV && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == M8 && var5 == NORV && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == M8 && var5 == PORT && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == M8 && var5 == PORT && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == M8 && var5 == SUED && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == M8 && var5 == SUED && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == M8 && var5 == SUIS && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == M8 && var5 == SUIS && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == M8 && var5 == YOUG && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == M8 && var5 == YOUG && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == M8 && var5 == MAGH && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == M8 && var5 == TURQ && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == M8 && var5 == TURQ && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == M8 && var5 == ARGE && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == M8 && var5 == ARGE && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == M8 && var5 == BRES && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == M8 && var5 == BRES && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == M8 && var5 == CHIL && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == M8 && var5 == CHIL && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == M8 && var5 == COLO && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == M8 && var5 == COLO && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == M8 && var5 == AFSU && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == M8 && var5 == AFSU && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == M8 && var5 == MARO && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == M8 && var5 == ISRA && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == M8 && var5 == ISRA && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == M8 && var5 == HONG && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == M8 && var5 == HONG && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == M8 && var5 == TCHE && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == M8 && var5 == TCHE && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == M8 && var5 == POLO && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == M8 && var5 == POLO && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == M8 && var5 == SLVQ && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == M8 && var5 == SLVQ && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == M8 && var5 == JAPO && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == M8 && var5 == JAPO && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == M8 && var5 == TAIW && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == M8 && var5 == TAIW && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == M8 && var5 == AUST && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == M8 && var5 == AUST && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == M8 && var5 == URUG && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == M8 && var5 == URUG && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == M8 && var5 == DAIB && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == M8 && var5 == DAIC && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == M8 && var5 == DAIC && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == M8 && var5 == DAID && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == M8 && var5 == DAID && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == M8 && var5 == DAIF && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == M8 && var5 == DAIF && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == M8 && var5 == EUOR && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == M8 && var5 == EUOR && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == M8 && var5 == CETI && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == M9 && var5 == FRAN && var11 == SSABS && var94 == EU93 ) || ( var2 == E2 && var3 == M9 && var5 == FRAN && var11 == ABS && var94 == EU93 ) || ( var2 == E2 && var3 == M9 && var5 == DOTO && var11 == SSABS && var94 == EU93 ) || ( var2 == E2 && var3 == M9 && var5 == DOTO && var11 == ABS && var94 == EU93 ) || ( var2 == E2 && var3 == M9 && var5 == ALLE && var11 == SSABS && var94 == EU93 ) || ( var2 == E2 && var3 == M9 && var5 == ALLE && var11 == ABS && var94 == EU93 ) || ( var2 == E2 && var3 == M9 && var5 == AUTR && var11 == SSABS && var94 == EU93 ) || ( var2 == E2 && var3 == M9 && var5 == AUTR && var11 == ABS && var94 == EU93 ) || ( var2 == E2 && var3 == M9 && var5 == BELG && var11 == SSABS && var94 == EU93 ) || ( var2 == E2 && var3 == M9 && var5 == BELG && var11 == ABS && var94 == EU93 ) || ( var2 == E2 && var3 == M9 && var5 == DANE && var11 == SSABS && var94 == EU93 ) || ( var2 == E2 && var3 == M9 && var5 == DANE && var11 == ABS && var94 == EU93 ) || ( var2 == E2 && var3 == M9 && var5 == ESPA && var11 == SSABS && var94 == EU93 ) || ( var2 == E2 && var3 == M9 && var5 == ESPA && var11 == ABS && var94 == EU93 ) || ( var2 == E2 && var3 == M9 && var5 == FINL && var11 == SSABS && var94 == EU93 ) || ( var2 == E2 && var3 == M9 && var5 == FINL && var11 == ABS && var94 == EU93 ) || ( var2 == E2 && var3 == M9 && var5 == GRBR && var11 == SSABS && var94 == EU93 ) || ( var2 == E2 && var3 == M9 && var5 == GRBR && var11 == ABS && var94 == EU93 ) || ( var2 == E2 && var3 == M9 && var5 == GREC && var11 == SSABS && var94 == EU93 ) || ( var2 == E2 && var3 == M9 && var5 == GREC && var11 == ABS && var94 == EU93 ) || ( var2 == E2 && var3 == M9 && var5 == HOLL && var11 == SSABS && var94 == EU93 ) || ( var2 == E2 && var3 == M9 && var5 == HOLL && var11 == ABS && var94 == EU93 ) || ( var2 == E2 && var3 == M9 && var5 == IRLA && var11 == SSABS && var94 == EU93 ) || ( var2 == E2 && var3 == M9 && var5 == IRLA && var11 == ABS && var94 == EU93 ) || ( var2 == E2 && var3 == M9 && var5 == ISLA && var11 == SSABS && var94 == EU93 ) || ( var2 == E2 && var3 == M9 && var5 == ISLA && var11 == ABS && var94 == EU93 ) || ( var2 == E2 && var3 == M9 && var5 == ITAL && var11 == SSABS && var94 == EU93 ) || ( var2 == E2 && var3 == M9 && var5 == ITAL && var11 == ABS && var94 == EU93 ) || ( var2 == E2 && var3 == M9 && var5 == NORV && var11 == SSABS && var94 == EU93 ) || ( var2 == E2 && var3 == M9 && var5 == NORV && var11 == ABS && var94 == EU93 ) || ( var2 == E2 && var3 == M9 && var5 == PORT && var11 == SSABS && var94 == EU93 ) || ( var2 == E2 && var3 == M9 && var5 == PORT && var11 == ABS && var94 == EU93 ) || ( var2 == E2 && var3 == M9 && var5 == SUED && var11 == SSABS && var94 == EU93 ) || ( var2 == E2 && var3 == M9 && var5 == SUED && var11 == ABS && var94 == EU93 ) || ( var2 == E2 && var3 == M9 && var5 == SUIS && var11 == SSABS && var94 == EU93 ) || ( var2 == E2 && var3 == M9 && var5 == SUIS && var11 == ABS && var94 == EU93 ) || ( var2 == E2 && var3 == M9 && var5 == YOUG && var11 == SSABS && var94 == EU93 ) || ( var2 == E2 && var3 == M9 && var5 == YOUG && var11 == ABS && var94 == EU93 ) || ( var2 == E2 && var3 == M9 && var5 == MAGH && var11 == SSABS && var94 == EU93 ) || ( var2 == E2 && var3 == M9 && var5 == TURQ && var11 == SSABS && var94 == EU93 ) || ( var2 == E2 && var3 == M9 && var5 == TURQ && var11 == ABS && var94 == EU93 ) || ( var2 == E2 && var3 == M9 && var5 == ARGE && var11 == SSABS && var94 == EU93 ) || ( var2 == E2 && var3 == M9 && var5 == ARGE && var11 == ABS && var94 == EU93 ) || ( var2 == E2 && var3 == M9 && var5 == BRES && var11 == SSABS && var94 == EU93 ) || ( var2 == E2 && var3 == M9 && var5 == BRES && var11 == ABS && var94 == EU93 ) || ( var2 == E2 && var3 == M9 && var5 == CHIL && var11 == SSABS && var94 == EU93 ) || ( var2 == E2 && var3 == M9 && var5 == CHIL && var11 == ABS && var94 == EU93 ) || ( var2 == E2 && var3 == M9 && var5 == COLO && var11 == SSABS && var94 == EU93 ) || ( var2 == E2 && var3 == M9 && var5 == COLO && var11 == ABS && var94 == EU93 ) || ( var2 == E2 && var3 == M9 && var5 == AFSU && var11 == SSABS && var94 == EU93 ) || ( var2 == E2 && var3 == M9 && var5 == AFSU && var11 == ABS && var94 == EU93 ) || ( var2 == E2 && var3 == M9 && var5 == MARO && var11 == SSABS && var94 == EU93 ) || ( var2 == E2 && var3 == M9 && var5 == ISRA && var11 == SSABS && var94 == EU93 ) || ( var2 == E2 && var3 == M9 && var5 == ISRA && var11 == ABS && var94 == EU93 ) || ( var2 == E2 && var3 == M9 && var5 == HONG && var11 == SSABS && var94 == EU93 ) || ( var2 == E2 && var3 == M9 && var5 == HONG && var11 == ABS && var94 == EU93 ) || ( var2 == E2 && var3 == M9 && var5 == TCHE && var11 == SSABS && var94 == EU93 ) || ( var2 == E2 && var3 == M9 && var5 == TCHE && var11 == ABS && var94 == EU93 ) || ( var2 == E2 && var3 == M9 && var5 == POLO && var11 == SSABS && var94 == EU93 ) || ( var2 == E2 && var3 == M9 && var5 == POLO && var11 == ABS && var94 == EU93 ) || ( var2 == E2 && var3 == M9 && var5 == SLVQ && var11 == SSABS && var94 == EU93 ) || ( var2 == E2 && var3 == M9 && var5 == SLVQ && var11 == ABS && var94 == EU93 ) || ( var2 == E2 && var3 == M9 && var5 == JAPO && var11 == SSABS && var94 == EU93 ) || ( var2 == E2 && var3 == M9 && var5 == JAPO && var11 == ABS && var94 == EU93 ) || ( var2 == E2 && var3 == M9 && var5 == TAIW && var11 == SSABS && var94 == EU93 ) || ( var2 == E2 && var3 == M9 && var5 == TAIW && var11 == ABS && var94 == EU93 ) || ( var2 == E2 && var3 == M9 && var5 == AUST && var11 == SSABS && var94 == EU93 ) || ( var2 == E2 && var3 == M9 && var5 == AUST && var11 == ABS && var94 == EU93 ) || ( var2 == E2 && var3 == M9 && var5 == URUG && var11 == SSABS && var94 == EU93 ) || ( var2 == E2 && var3 == M9 && var5 == URUG && var11 == ABS && var94 == EU93 ) || ( var2 == E2 && var3 == M9 && var5 == DAIB && var11 == SSABS && var94 == EU93 ) || ( var2 == E2 && var3 == M9 && var5 == DAIC && var11 == SSABS && var94 == EU93 ) || ( var2 == E2 && var3 == M9 && var5 == DAIC && var11 == ABS && var94 == EU93 ) || ( var2 == E2 && var3 == M9 && var5 == DAID && var11 == SSABS && var94 == EU93 ) || ( var2 == E2 && var3 == M9 && var5 == DAID && var11 == ABS && var94 == EU93 ) || ( var2 == E2 && var3 == M9 && var5 == DAIF && var11 == SSABS && var94 == EU93 ) || ( var2 == E2 && var3 == M9 && var5 == DAIF && var11 == ABS && var94 == EU93 ) || ( var2 == E2 && var3 == M9 && var5 == EUOR && var11 == SSABS && var94 == EU93 ) || ( var2 == E2 && var3 == M9 && var5 == EUOR && var11 == ABS && var94 == EU93 ) || ( var2 == E2 && var3 == M9 && var5 == CETI && var11 == SSABS && var94 == EU93 ) || ( var2 == E2 && var3 == MA && var5 == FRAN && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MA && var5 == FRAN && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MA && var5 == DOTO && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MA && var5 == DOTO && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MA && var5 == ALLE && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MA && var5 == ALLE && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MA && var5 == AUTR && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MA && var5 == AUTR && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MA && var5 == BELG && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MA && var5 == BELG && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MA && var5 == DANE && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MA && var5 == DANE && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MA && var5 == ESPA && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MA && var5 == ESPA && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MA && var5 == FINL && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MA && var5 == FINL && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MA && var5 == GRBR && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MA && var5 == GRBR && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MA && var5 == GREC && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MA && var5 == GREC && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MA && var5 == HOLL && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MA && var5 == HOLL && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MA && var5 == IRLA && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MA && var5 == IRLA && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MA && var5 == ISLA && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MA && var5 == ISLA && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MA && var5 == ITAL && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MA && var5 == ITAL && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MA && var5 == NORV && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MA && var5 == NORV && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MA && var5 == PORT && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MA && var5 == PORT && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MA && var5 == SUED && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MA && var5 == SUED && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MA && var5 == SUIS && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MA && var5 == SUIS && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MA && var5 == YOUG && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MA && var5 == YOUG && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MA && var5 == MAGH && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MA && var5 == TURQ && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MA && var5 == TURQ && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MA && var5 == ARGE && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MA && var5 == ARGE && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MA && var5 == BRES && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MA && var5 == BRES && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MA && var5 == CHIL && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MA && var5 == CHIL && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MA && var5 == COLO && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MA && var5 == COLO && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MA && var5 == AFSU && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MA && var5 == AFSU && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MA && var5 == MARO && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MA && var5 == ISRA && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MA && var5 == ISRA && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MA && var5 == HONG && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MA && var5 == HONG && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MA && var5 == TCHE && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MA && var5 == TCHE && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MA && var5 == POLO && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MA && var5 == POLO && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MA && var5 == SLVQ && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MA && var5 == SLVQ && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MA && var5 == JAPO && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MA && var5 == JAPO && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MA && var5 == TAIW && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MA && var5 == TAIW && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MA && var5 == AUST && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MA && var5 == AUST && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MA && var5 == URUG && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MA && var5 == URUG && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MA && var5 == DAIB && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MA && var5 == DAIC && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MA && var5 == DAIC && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MA && var5 == DAID && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MA && var5 == DAID && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MA && var5 == DAIF && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MA && var5 == DAIF && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MA && var5 == EUOR && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MA && var5 == EUOR && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MA && var5 == CETI && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MB && var5 == FRAN && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == MB && var5 == FRAN && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MB && var5 == DOTO && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == MB && var5 == DOTO && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MB && var5 == ALLE && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == MB && var5 == ALLE && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MB && var5 == AUTR && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == MB && var5 == AUTR && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MB && var5 == BELG && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == MB && var5 == BELG && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MB && var5 == DANE && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == MB && var5 == DANE && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MB && var5 == ESPA && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == MB && var5 == ESPA && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MB && var5 == FINL && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == MB && var5 == FINL && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MB && var5 == GRBR && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == MB && var5 == GRBR && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MB && var5 == GREC && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == MB && var5 == GREC && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MB && var5 == HOLL && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == MB && var5 == HOLL && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MB && var5 == IRLA && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == MB && var5 == IRLA && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MB && var5 == ISLA && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == MB && var5 == ISLA && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MB && var5 == ITAL && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == MB && var5 == ITAL && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MB && var5 == NORV && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == MB && var5 == NORV && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MB && var5 == PORT && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == MB && var5 == PORT && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MB && var5 == SUED && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == MB && var5 == SUED && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MB && var5 == SUIS && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == MB && var5 == SUIS && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MB && var5 == YOUG && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == MB && var5 == YOUG && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MB && var5 == MAGH && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == MB && var5 == TURQ && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == MB && var5 == TURQ && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MB && var5 == ARGE && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == MB && var5 == ARGE && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MB && var5 == BRES && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == MB && var5 == BRES && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MB && var5 == CHIL && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == MB && var5 == CHIL && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MB && var5 == COLO && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == MB && var5 == COLO && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MB && var5 == AFSU && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == MB && var5 == AFSU && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MB && var5 == MARO && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == MB && var5 == ISRA && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == MB && var5 == ISRA && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MB && var5 == HONG && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == MB && var5 == HONG && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MB && var5 == TCHE && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == MB && var5 == TCHE && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MB && var5 == POLO && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == MB && var5 == POLO && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MB && var5 == SLVQ && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == MB && var5 == SLVQ && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MB && var5 == JAPO && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == MB && var5 == JAPO && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MB && var5 == TAIW && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == MB && var5 == TAIW && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MB && var5 == AUST && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == MB && var5 == AUST && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MB && var5 == URUG && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == MB && var5 == URUG && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MB && var5 == DAIB && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == MB && var5 == DAIC && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == MB && var5 == DAIC && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MB && var5 == DAID && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == MB && var5 == DAID && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MB && var5 == DAIF && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == MB && var5 == DAIF && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MB && var5 == EUOR && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == MB && var5 == EUOR && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MB && var5 == CETI && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == MC && var5 == FRAN && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MC && var5 == DOTO && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MC && var5 == ALLE && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MC && var5 == AUTR && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MC && var5 == BELG && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MC && var5 == DANE && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MC && var5 == ESPA && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MC && var5 == FINL && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MC && var5 == GRBR && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MC && var5 == GREC && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MC && var5 == HOLL && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MC && var5 == IRLA && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MC && var5 == ISLA && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MC && var5 == ITAL && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MC && var5 == NORV && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MC && var5 == PORT && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MC && var5 == SUED && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MC && var5 == SUIS && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MC && var5 == YOUG && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MC && var5 == MAGH && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == MC && var5 == TURQ && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MC && var5 == ARGE && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MC && var5 == BRES && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MC && var5 == CHIL && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MC && var5 == COLO && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MC && var5 == AFSU && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MC && var5 == MARO && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == MC && var5 == ISRA && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MC && var5 == HONG && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MC && var5 == TCHE && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MC && var5 == POLO && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MC && var5 == SLVQ && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MC && var5 == JAPO && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MC && var5 == TAIW && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MC && var5 == AUST && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MC && var5 == URUG && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MC && var5 == DAIB && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == MC && var5 == DAIC && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MC && var5 == DAID && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MC && var5 == DAIF && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MC && var5 == EUOR && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MC && var5 == CETI && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == MD && var5 == FRAN && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == MD && var5 == FRAN && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MD && var5 == DOTO && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == MD && var5 == DOTO && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MD && var5 == ALLE && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == MD && var5 == ALLE && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MD && var5 == AUTR && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == MD && var5 == AUTR && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MD && var5 == BELG && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == MD && var5 == BELG && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MD && var5 == DANE && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == MD && var5 == DANE && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MD && var5 == ESPA && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == MD && var5 == ESPA && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MD && var5 == FINL && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == MD && var5 == FINL && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MD && var5 == GRBR && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == MD && var5 == GRBR && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MD && var5 == GREC && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == MD && var5 == GREC && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MD && var5 == HOLL && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == MD && var5 == HOLL && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MD && var5 == IRLA && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == MD && var5 == IRLA && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MD && var5 == ISLA && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == MD && var5 == ISLA && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MD && var5 == ITAL && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == MD && var5 == ITAL && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MD && var5 == NORV && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == MD && var5 == NORV && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MD && var5 == PORT && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == MD && var5 == PORT && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MD && var5 == SUED && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == MD && var5 == SUED && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MD && var5 == SUIS && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == MD && var5 == SUIS && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MD && var5 == YOUG && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == MD && var5 == YOUG && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MD && var5 == MAGH && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == MD && var5 == TURQ && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == MD && var5 == TURQ && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MD && var5 == ARGE && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == MD && var5 == ARGE && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MD && var5 == BRES && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == MD && var5 == BRES && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MD && var5 == CHIL && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == MD && var5 == CHIL && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MD && var5 == COLO && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == MD && var5 == COLO && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MD && var5 == AFSU && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == MD && var5 == AFSU && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MD && var5 == MARO && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == MD && var5 == ISRA && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == MD && var5 == ISRA && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MD && var5 == HONG && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == MD && var5 == HONG && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MD && var5 == TCHE && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == MD && var5 == TCHE && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MD && var5 == POLO && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == MD && var5 == POLO && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MD && var5 == SLVQ && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == MD && var5 == SLVQ && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MD && var5 == JAPO && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == MD && var5 == JAPO && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MD && var5 == TAIW && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == MD && var5 == TAIW && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MD && var5 == AUST && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == MD && var5 == AUST && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MD && var5 == URUG && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == MD && var5 == URUG && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MD && var5 == DAIB && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == MD && var5 == DAIC && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == MD && var5 == DAIC && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MD && var5 == DAID && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == MD && var5 == DAID && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MD && var5 == DAIF && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == MD && var5 == DAIF && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MD && var5 == EUOR && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == MD && var5 == EUOR && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MD && var5 == CETI && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == ME && var5 == FRAN && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == ME && var5 == FRAN && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == ME && var5 == DOTO && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == ME && var5 == DOTO && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == ME && var5 == ALLE && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == ME && var5 == ALLE && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == ME && var5 == AUTR && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == ME && var5 == AUTR && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == ME && var5 == BELG && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == ME && var5 == BELG && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == ME && var5 == DANE && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == ME && var5 == DANE && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == ME && var5 == ESPA && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == ME && var5 == ESPA && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == ME && var5 == FINL && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == ME && var5 == FINL && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == ME && var5 == GRBR && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == ME && var5 == GRBR && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == ME && var5 == GREC && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == ME && var5 == GREC && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == ME && var5 == HOLL && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == ME && var5 == HOLL && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == ME && var5 == IRLA && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == ME && var5 == IRLA && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == ME && var5 == ISLA && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == ME && var5 == ISLA && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == ME && var5 == ITAL && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == ME && var5 == ITAL && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == ME && var5 == NORV && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == ME && var5 == NORV && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == ME && var5 == PORT && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == ME && var5 == PORT && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == ME && var5 == SUED && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == ME && var5 == SUED && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == ME && var5 == SUIS && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == ME && var5 == SUIS && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == ME && var5 == YOUG && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == ME && var5 == YOUG && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == ME && var5 == MAGH && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == ME && var5 == TURQ && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == ME && var5 == TURQ && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == ME && var5 == ARGE && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == ME && var5 == ARGE && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == ME && var5 == BRES && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == ME && var5 == BRES && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == ME && var5 == CHIL && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == ME && var5 == CHIL && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == ME && var5 == COLO && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == ME && var5 == COLO && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == ME && var5 == AFSU && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == ME && var5 == AFSU && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == ME && var5 == MARO && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == ME && var5 == ISRA && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == ME && var5 == ISRA && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == ME && var5 == HONG && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == ME && var5 == HONG && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == ME && var5 == TCHE && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == ME && var5 == TCHE && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == ME && var5 == POLO && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == ME && var5 == POLO && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == ME && var5 == SLVQ && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == ME && var5 == SLVQ && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == ME && var5 == JAPO && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == ME && var5 == JAPO && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == ME && var5 == TAIW && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == ME && var5 == TAIW && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == ME && var5 == AUST && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == ME && var5 == AUST && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == ME && var5 == URUG && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == ME && var5 == URUG && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == ME && var5 == DAIB && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == ME && var5 == DAIC && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == ME && var5 == DAIC && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == ME && var5 == DAID && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == ME && var5 == DAID && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == ME && var5 == DAIF && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == ME && var5 == DAIF && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == ME && var5 == EUOR && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == ME && var5 == EUOR && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == ME && var5 == CETI && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MF && var5 == FRAN && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MF && var5 == FRAN && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MF && var5 == DOTO && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MF && var5 == DOTO && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MF && var5 == ALLE && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MF && var5 == ALLE && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MF && var5 == AUTR && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MF && var5 == AUTR && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MF && var5 == BELG && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MF && var5 == BELG && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MF && var5 == DANE && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MF && var5 == DANE && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MF && var5 == ESPA && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MF && var5 == ESPA && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MF && var5 == FINL && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MF && var5 == FINL && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MF && var5 == GRBR && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MF && var5 == GRBR && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MF && var5 == GREC && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MF && var5 == GREC && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MF && var5 == HOLL && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MF && var5 == HOLL && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MF && var5 == IRLA && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MF && var5 == IRLA && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MF && var5 == ISLA && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MF && var5 == ISLA && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MF && var5 == ITAL && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MF && var5 == ITAL && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MF && var5 == NORV && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MF && var5 == NORV && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MF && var5 == PORT && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MF && var5 == PORT && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MF && var5 == SUED && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MF && var5 == SUED && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MF && var5 == SUIS && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MF && var5 == SUIS && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MF && var5 == YOUG && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MF && var5 == YOUG && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MF && var5 == MAGH && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MF && var5 == TURQ && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MF && var5 == TURQ && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MF && var5 == ARGE && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MF && var5 == ARGE && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MF && var5 == BRES && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MF && var5 == BRES && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MF && var5 == CHIL && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MF && var5 == CHIL && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MF && var5 == COLO && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MF && var5 == COLO && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MF && var5 == AFSU && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MF && var5 == AFSU && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MF && var5 == MARO && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MF && var5 == ISRA && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MF && var5 == ISRA && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MF && var5 == HONG && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MF && var5 == HONG && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MF && var5 == TCHE && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MF && var5 == TCHE && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MF && var5 == POLO && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MF && var5 == POLO && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MF && var5 == SLVQ && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MF && var5 == SLVQ && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MF && var5 == JAPO && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MF && var5 == JAPO && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MF && var5 == TAIW && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MF && var5 == TAIW && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MF && var5 == AUST && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MF && var5 == AUST && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MF && var5 == URUG && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MF && var5 == URUG && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MF && var5 == DAIB && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MF && var5 == DAIC && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MF && var5 == DAIC && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MF && var5 == DAID && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MF && var5 == DAID && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MF && var5 == DAIF && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MF && var5 == DAIF && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MF && var5 == EUOR && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MF && var5 == EUOR && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MF && var5 == CETI && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MJ && var5 == FRAN && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MJ && var5 == FRAN && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MJ && var5 == DOTO && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MJ && var5 == DOTO && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MJ && var5 == ALLE && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MJ && var5 == ALLE && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MJ && var5 == AUTR && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MJ && var5 == AUTR && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MJ && var5 == BELG && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MJ && var5 == BELG && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MJ && var5 == DANE && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MJ && var5 == DANE && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MJ && var5 == ESPA && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MJ && var5 == ESPA && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MJ && var5 == FINL && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MJ && var5 == FINL && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MJ && var5 == GRBR && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MJ && var5 == GRBR && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MJ && var5 == GREC && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MJ && var5 == GREC && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MJ && var5 == HOLL && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MJ && var5 == HOLL && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MJ && var5 == IRLA && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MJ && var5 == IRLA && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MJ && var5 == ISLA && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MJ && var5 == ISLA && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MJ && var5 == ITAL && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MJ && var5 == ITAL && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MJ && var5 == NORV && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MJ && var5 == NORV && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MJ && var5 == PORT && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MJ && var5 == PORT && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MJ && var5 == SUED && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MJ && var5 == SUED && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MJ && var5 == SUIS && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MJ && var5 == SUIS && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MJ && var5 == YOUG && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MJ && var5 == YOUG && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MJ && var5 == MAGH && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MJ && var5 == TURQ && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MJ && var5 == TURQ && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MJ && var5 == ARGE && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MJ && var5 == ARGE && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MJ && var5 == BRES && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MJ && var5 == BRES && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MJ && var5 == CHIL && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MJ && var5 == CHIL && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MJ && var5 == COLO && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MJ && var5 == COLO && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MJ && var5 == AFSU && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MJ && var5 == AFSU && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MJ && var5 == MARO && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MJ && var5 == ISRA && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MJ && var5 == ISRA && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MJ && var5 == HONG && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MJ && var5 == HONG && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MJ && var5 == TCHE && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MJ && var5 == TCHE && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MJ && var5 == POLO && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MJ && var5 == POLO && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MJ && var5 == SLVQ && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MJ && var5 == SLVQ && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MJ && var5 == JAPO && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MJ && var5 == JAPO && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MJ && var5 == TAIW && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MJ && var5 == TAIW && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MJ && var5 == AUST && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MJ && var5 == AUST && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MJ && var5 == URUG && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MJ && var5 == URUG && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MJ && var5 == DAIB && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MJ && var5 == DAIC && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MJ && var5 == DAIC && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MJ && var5 == DAID && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MJ && var5 == DAID && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MJ && var5 == DAIF && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MJ && var5 == DAIF && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MJ && var5 == EUOR && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MJ && var5 == EUOR && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MJ && var5 == CETI && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MK && var5 == FRAN && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MK && var5 == FRAN && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MK && var5 == DOTO && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MK && var5 == DOTO && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MK && var5 == ALLE && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MK && var5 == ALLE && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MK && var5 == AUTR && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MK && var5 == AUTR && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MK && var5 == BELG && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MK && var5 == BELG && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MK && var5 == DANE && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MK && var5 == DANE && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MK && var5 == ESPA && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MK && var5 == ESPA && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MK && var5 == FINL && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MK && var5 == FINL && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MK && var5 == GRBR && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MK && var5 == GRBR && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MK && var5 == GREC && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MK && var5 == GREC && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MK && var5 == HOLL && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MK && var5 == HOLL && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MK && var5 == IRLA && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MK && var5 == IRLA && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MK && var5 == ISLA && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MK && var5 == ISLA && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MK && var5 == ITAL && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MK && var5 == ITAL && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MK && var5 == NORV && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MK && var5 == NORV && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MK && var5 == PORT && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MK && var5 == PORT && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MK && var5 == SUED && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MK && var5 == SUED && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MK && var5 == SUIS && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MK && var5 == SUIS && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MK && var5 == YOUG && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MK && var5 == YOUG && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MK && var5 == MAGH && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MK && var5 == TURQ && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MK && var5 == TURQ && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MK && var5 == ARGE && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MK && var5 == ARGE && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MK && var5 == BRES && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MK && var5 == BRES && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MK && var5 == CHIL && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MK && var5 == CHIL && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MK && var5 == COLO && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MK && var5 == COLO && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MK && var5 == AFSU && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MK && var5 == AFSU && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MK && var5 == MARO && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MK && var5 == ISRA && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MK && var5 == ISRA && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MK && var5 == HONG && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MK && var5 == HONG && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MK && var5 == TCHE && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MK && var5 == TCHE && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MK && var5 == POLO && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MK && var5 == POLO && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MK && var5 == SLVQ && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MK && var5 == SLVQ && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MK && var5 == JAPO && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MK && var5 == JAPO && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MK && var5 == TAIW && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MK && var5 == TAIW && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MK && var5 == AUST && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MK && var5 == AUST && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MK && var5 == URUG && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MK && var5 == URUG && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MK && var5 == DAIB && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MK && var5 == DAIC && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MK && var5 == DAIC && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MK && var5 == DAID && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MK && var5 == DAID && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MK && var5 == DAIF && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MK && var5 == DAIF && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MK && var5 == EUOR && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MK && var5 == EUOR && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MK && var5 == CETI && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == ML && var5 == FRAN && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == ML && var5 == FRAN && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == ML && var5 == DOTO && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == ML && var5 == DOTO && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == ML && var5 == ALLE && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == ML && var5 == ALLE && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == ML && var5 == AUTR && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == ML && var5 == AUTR && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == ML && var5 == BELG && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == ML && var5 == BELG && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == ML && var5 == DANE && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == ML && var5 == DANE && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == ML && var5 == ESPA && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == ML && var5 == ESPA && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == ML && var5 == FINL && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == ML && var5 == FINL && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == ML && var5 == GRBR && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == ML && var5 == GRBR && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == ML && var5 == GREC && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == ML && var5 == GREC && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == ML && var5 == HOLL && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == ML && var5 == HOLL && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == ML && var5 == IRLA && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == ML && var5 == IRLA && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == ML && var5 == ISLA && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == ML && var5 == ISLA && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == ML && var5 == ITAL && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == ML && var5 == ITAL && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == ML && var5 == NORV && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == ML && var5 == NORV && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == ML && var5 == PORT && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == ML && var5 == PORT && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == ML && var5 == SUED && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == ML && var5 == SUED && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == ML && var5 == SUIS && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == ML && var5 == SUIS && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == ML && var5 == YOUG && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == ML && var5 == YOUG && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == ML && var5 == MAGH && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == ML && var5 == TURQ && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == ML && var5 == TURQ && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == ML && var5 == ARGE && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == ML && var5 == ARGE && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == ML && var5 == BRES && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == ML && var5 == BRES && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == ML && var5 == CHIL && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == ML && var5 == CHIL && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == ML && var5 == COLO && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == ML && var5 == COLO && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == ML && var5 == AFSU && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == ML && var5 == AFSU && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == ML && var5 == MARO && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == ML && var5 == ISRA && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == ML && var5 == ISRA && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == ML && var5 == HONG && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == ML && var5 == HONG && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == ML && var5 == TCHE && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == ML && var5 == TCHE && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == ML && var5 == POLO && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == ML && var5 == POLO && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == ML && var5 == SLVQ && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == ML && var5 == SLVQ && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == ML && var5 == JAPO && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == ML && var5 == JAPO && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == ML && var5 == TAIW && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == ML && var5 == TAIW && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == ML && var5 == AUST && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == ML && var5 == AUST && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == ML && var5 == URUG && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == ML && var5 == URUG && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == ML && var5 == DAIB && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == ML && var5 == DAIC && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == ML && var5 == DAIC && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == ML && var5 == DAID && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == ML && var5 == DAID && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == ML && var5 == DAIF && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == ML && var5 == DAIF && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == ML && var5 == EUOR && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == ML && var5 == EUOR && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == ML && var5 == CETI && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MM && var5 == FRAN && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == MM && var5 == FRAN && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MM && var5 == DOTO && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == MM && var5 == DOTO && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MM && var5 == ALLE && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == MM && var5 == ALLE && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MM && var5 == AUTR && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == MM && var5 == AUTR && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MM && var5 == BELG && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == MM && var5 == BELG && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MM && var5 == DANE && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == MM && var5 == DANE && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MM && var5 == ESPA && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == MM && var5 == ESPA && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MM && var5 == FINL && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == MM && var5 == FINL && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MM && var5 == GRBR && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == MM && var5 == GRBR && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MM && var5 == GREC && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == MM && var5 == GREC && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MM && var5 == HOLL && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == MM && var5 == HOLL && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MM && var5 == IRLA && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == MM && var5 == IRLA && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MM && var5 == ISLA && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == MM && var5 == ISLA && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MM && var5 == ITAL && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == MM && var5 == ITAL && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MM && var5 == NORV && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == MM && var5 == NORV && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MM && var5 == PORT && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == MM && var5 == PORT && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MM && var5 == SUED && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == MM && var5 == SUED && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MM && var5 == SUIS && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == MM && var5 == SUIS && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MM && var5 == YOUG && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == MM && var5 == YOUG && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MM && var5 == MAGH && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == MM && var5 == TURQ && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == MM && var5 == TURQ && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MM && var5 == ARGE && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == MM && var5 == ARGE && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MM && var5 == BRES && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == MM && var5 == BRES && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MM && var5 == CHIL && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == MM && var5 == CHIL && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MM && var5 == COLO && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == MM && var5 == COLO && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MM && var5 == AFSU && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == MM && var5 == AFSU && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MM && var5 == MARO && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == MM && var5 == ISRA && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == MM && var5 == ISRA && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MM && var5 == HONG && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == MM && var5 == HONG && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MM && var5 == TCHE && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == MM && var5 == TCHE && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MM && var5 == POLO && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == MM && var5 == POLO && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MM && var5 == SLVQ && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == MM && var5 == SLVQ && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MM && var5 == JAPO && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == MM && var5 == JAPO && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MM && var5 == TAIW && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == MM && var5 == TAIW && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MM && var5 == AUST && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == MM && var5 == AUST && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MM && var5 == URUG && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == MM && var5 == URUG && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MM && var5 == DAIB && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == MM && var5 == DAIC && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == MM && var5 == DAIC && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MM && var5 == DAID && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == MM && var5 == DAID && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MM && var5 == DAIF && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == MM && var5 == DAIF && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MM && var5 == EUOR && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == MM && var5 == EUOR && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == MM && var5 == CETI && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == MS && var5 == FRAN && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E2 && var3 == MS && var5 == DOTO && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E2 && var3 == MS && var5 == ALLE && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E2 && var3 == MS && var5 == AUTR && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E2 && var3 == MS && var5 == BELG && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E2 && var3 == MS && var5 == DANE && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E2 && var3 == MS && var5 == ESPA && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E2 && var3 == MS && var5 == FINL && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E2 && var3 == MS && var5 == GRBR && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E2 && var3 == MS && var5 == GREC && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E2 && var3 == MS && var5 == HOLL && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E2 && var3 == MS && var5 == IRLA && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E2 && var3 == MS && var5 == ISLA && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E2 && var3 == MS && var5 == ITAL && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E2 && var3 == MS && var5 == NORV && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E2 && var3 == MS && var5 == PORT && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E2 && var3 == MS && var5 == SUED && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E2 && var3 == MS && var5 == SUIS && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E2 && var3 == MS && var5 == YOUG && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E2 && var3 == MS && var5 == MAGH && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E2 && var3 == MS && var5 == TURQ && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E2 && var3 == MS && var5 == ARGE && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E2 && var3 == MS && var5 == BRES && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E2 && var3 == MS && var5 == CHIL && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E2 && var3 == MS && var5 == COLO && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E2 && var3 == MS && var5 == AFSU && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E2 && var3 == MS && var5 == MARO && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E2 && var3 == MS && var5 == ISRA && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E2 && var3 == MS && var5 == HONG && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E2 && var3 == MS && var5 == TCHE && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E2 && var3 == MS && var5 == POLO && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E2 && var3 == MS && var5 == SLVQ && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E2 && var3 == MS && var5 == JAPO && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E2 && var3 == MS && var5 == TAIW && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E2 && var3 == MS && var5 == AUST && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E2 && var3 == MS && var5 == URUG && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E2 && var3 == MS && var5 == DAIB && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E2 && var3 == MS && var5 == DAIC && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E2 && var3 == MS && var5 == DAID && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E2 && var3 == MS && var5 == DAIF && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E2 && var3 == MS && var5 == EUOR && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E2 && var3 == MS && var5 == CETI && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E2 && var3 == MT && var5 == FRAN && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MT && var5 == FRAN && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MT && var5 == DOTO && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MT && var5 == DOTO && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MT && var5 == ALLE && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MT && var5 == ALLE && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MT && var5 == AUTR && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MT && var5 == AUTR && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MT && var5 == BELG && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MT && var5 == BELG && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MT && var5 == DANE && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MT && var5 == DANE && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MT && var5 == ESPA && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MT && var5 == ESPA && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MT && var5 == FINL && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MT && var5 == FINL && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MT && var5 == GRBR && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MT && var5 == GRBR && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MT && var5 == GREC && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MT && var5 == GREC && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MT && var5 == HOLL && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MT && var5 == HOLL && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MT && var5 == IRLA && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MT && var5 == IRLA && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MT && var5 == ISLA && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MT && var5 == ISLA && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MT && var5 == ITAL && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MT && var5 == ITAL && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MT && var5 == NORV && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MT && var5 == NORV && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MT && var5 == PORT && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MT && var5 == PORT && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MT && var5 == SUED && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MT && var5 == SUED && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MT && var5 == SUIS && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MT && var5 == SUIS && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MT && var5 == YOUG && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MT && var5 == YOUG && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MT && var5 == MAGH && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MT && var5 == TURQ && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MT && var5 == TURQ && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MT && var5 == ARGE && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MT && var5 == ARGE && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MT && var5 == BRES && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MT && var5 == BRES && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MT && var5 == CHIL && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MT && var5 == CHIL && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MT && var5 == COLO && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MT && var5 == COLO && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MT && var5 == AFSU && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MT && var5 == AFSU && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MT && var5 == MARO && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MT && var5 == ISRA && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MT && var5 == ISRA && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MT && var5 == HONG && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MT && var5 == HONG && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MT && var5 == TCHE && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MT && var5 == TCHE && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MT && var5 == POLO && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MT && var5 == POLO && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MT && var5 == SLVQ && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MT && var5 == SLVQ && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MT && var5 == JAPO && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MT && var5 == JAPO && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MT && var5 == TAIW && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MT && var5 == TAIW && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MT && var5 == AUST && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MT && var5 == AUST && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MT && var5 == URUG && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MT && var5 == URUG && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MT && var5 == DAIB && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MT && var5 == DAIC && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MT && var5 == DAIC && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MT && var5 == DAID && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MT && var5 == DAID && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MT && var5 == DAIF && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MT && var5 == DAIF && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MT && var5 == EUOR && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MT && var5 == EUOR && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MT && var5 == CETI && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MU && var5 == FRAN && var11 == SSABS && var94 == EU93 ) || ( var2 == E2 && var3 == MU && var5 == DOTO && var11 == SSABS && var94 == EU93 ) || ( var2 == E2 && var3 == MU && var5 == ALLE && var11 == SSABS && var94 == EU93 ) || ( var2 == E2 && var3 == MU && var5 == AUTR && var11 == SSABS && var94 == EU93 ) || ( var2 == E2 && var3 == MU && var5 == BELG && var11 == SSABS && var94 == EU93 ) || ( var2 == E2 && var3 == MU && var5 == DANE && var11 == SSABS && var94 == EU93 ) || ( var2 == E2 && var3 == MU && var5 == ESPA && var11 == SSABS && var94 == EU93 ) || ( var2 == E2 && var3 == MU && var5 == FINL && var11 == SSABS && var94 == EU93 ) || ( var2 == E2 && var3 == MU && var5 == GRBR && var11 == SSABS && var94 == EU93 ) || ( var2 == E2 && var3 == MU && var5 == GREC && var11 == SSABS && var94 == EU93 ) || ( var2 == E2 && var3 == MU && var5 == HOLL && var11 == SSABS && var94 == EU93 ) || ( var2 == E2 && var3 == MU && var5 == IRLA && var11 == SSABS && var94 == EU93 ) || ( var2 == E2 && var3 == MU && var5 == ISLA && var11 == SSABS && var94 == EU93 ) || ( var2 == E2 && var3 == MU && var5 == ITAL && var11 == SSABS && var94 == EU93 ) || ( var2 == E2 && var3 == MU && var5 == NORV && var11 == SSABS && var94 == EU93 ) || ( var2 == E2 && var3 == MU && var5 == PORT && var11 == SSABS && var94 == EU93 ) || ( var2 == E2 && var3 == MU && var5 == SUED && var11 == SSABS && var94 == EU93 ) || ( var2 == E2 && var3 == MU && var5 == SUIS && var11 == SSABS && var94 == EU93 ) || ( var2 == E2 && var3 == MU && var5 == YOUG && var11 == SSABS && var94 == EU93 ) || ( var2 == E2 && var3 == MU && var5 == MAGH && var11 == SSABS && var94 == EU93 ) || ( var2 == E2 && var3 == MU && var5 == TURQ && var11 == SSABS && var94 == EU93 ) || ( var2 == E2 && var3 == MU && var5 == ARGE && var11 == SSABS && var94 == EU93 ) || ( var2 == E2 && var3 == MU && var5 == BRES && var11 == SSABS && var94 == EU93 ) || ( var2 == E2 && var3 == MU && var5 == CHIL && var11 == SSABS && var94 == EU93 ) || ( var2 == E2 && var3 == MU && var5 == COLO && var11 == SSABS && var94 == EU93 ) || ( var2 == E2 && var3 == MU && var5 == AFSU && var11 == SSABS && var94 == EU93 ) || ( var2 == E2 && var3 == MU && var5 == MARO && var11 == SSABS && var94 == EU93 ) || ( var2 == E2 && var3 == MU && var5 == ISRA && var11 == SSABS && var94 == EU93 ) || ( var2 == E2 && var3 == MU && var5 == HONG && var11 == SSABS && var94 == EU93 ) || ( var2 == E2 && var3 == MU && var5 == TCHE && var11 == SSABS && var94 == EU93 ) || ( var2 == E2 && var3 == MU && var5 == POLO && var11 == SSABS && var94 == EU93 ) || ( var2 == E2 && var3 == MU && var5 == SLVQ && var11 == SSABS && var94 == EU93 ) || ( var2 == E2 && var3 == MU && var5 == JAPO && var11 == SSABS && var94 == EU93 ) || ( var2 == E2 && var3 == MU && var5 == TAIW && var11 == SSABS && var94 == EU93 ) || ( var2 == E2 && var3 == MU && var5 == AUST && var11 == SSABS && var94 == EU93 ) || ( var2 == E2 && var3 == MU && var5 == URUG && var11 == SSABS && var94 == EU93 ) || ( var2 == E2 && var3 == MU && var5 == DAIB && var11 == SSABS && var94 == EU93 ) || ( var2 == E2 && var3 == MU && var5 == DAIC && var11 == SSABS && var94 == EU93 ) || ( var2 == E2 && var3 == MU && var5 == DAID && var11 == SSABS && var94 == EU93 ) || ( var2 == E2 && var3 == MU && var5 == DAIF && var11 == SSABS && var94 == EU93 ) || ( var2 == E2 && var3 == MU && var5 == EUOR && var11 == SSABS && var94 == EU93 ) || ( var2 == E2 && var3 == MU && var5 == CETI && var11 == SSABS && var94 == EU93 ) || ( var2 == E2 && var3 == MN && var5 == FRAN && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MN && var5 == FRAN && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MN && var5 == DOTO && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MN && var5 == DOTO && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MN && var5 == ALLE && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MN && var5 == ALLE && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MN && var5 == AUTR && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MN && var5 == AUTR && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MN && var5 == BELG && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MN && var5 == BELG && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MN && var5 == DANE && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MN && var5 == DANE && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MN && var5 == ESPA && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MN && var5 == ESPA && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MN && var5 == FINL && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MN && var5 == FINL && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MN && var5 == GRBR && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MN && var5 == GRBR && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MN && var5 == GREC && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MN && var5 == GREC && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MN && var5 == HOLL && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MN && var5 == HOLL && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MN && var5 == IRLA && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MN && var5 == IRLA && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MN && var5 == ISLA && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MN && var5 == ISLA && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MN && var5 == ITAL && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MN && var5 == ITAL && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MN && var5 == NORV && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MN && var5 == NORV && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MN && var5 == PORT && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MN && var5 == PORT && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MN && var5 == SUED && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MN && var5 == SUED && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MN && var5 == SUIS && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MN && var5 == SUIS && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MN && var5 == YOUG && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MN && var5 == YOUG && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MN && var5 == MAGH && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MN && var5 == TURQ && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MN && var5 == TURQ && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MN && var5 == ARGE && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MN && var5 == ARGE && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MN && var5 == BRES && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MN && var5 == BRES && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MN && var5 == CHIL && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MN && var5 == CHIL && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MN && var5 == COLO && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MN && var5 == COLO && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MN && var5 == AFSU && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MN && var5 == AFSU && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MN && var5 == MARO && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MN && var5 == ISRA && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MN && var5 == ISRA && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MN && var5 == HONG && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MN && var5 == HONG && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MN && var5 == TCHE && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MN && var5 == TCHE && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MN && var5 == POLO && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MN && var5 == POLO && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MN && var5 == SLVQ && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MN && var5 == SLVQ && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MN && var5 == JAPO && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MN && var5 == JAPO && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MN && var5 == TAIW && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MN && var5 == TAIW && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MN && var5 == AUST && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MN && var5 == AUST && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MN && var5 == URUG && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MN && var5 == URUG && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MN && var5 == DAIB && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MN && var5 == DAIC && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MN && var5 == DAIC && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MN && var5 == DAID && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MN && var5 == DAID && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MN && var5 == DAIF && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MN && var5 == DAIF && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MN && var5 == EUOR && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MN && var5 == EUOR && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MN && var5 == CETI && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MH && var5 == FRAN && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MH && var5 == DOTO && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MH && var5 == ALLE && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MH && var5 == AUTR && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MH && var5 == BELG && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MH && var5 == DANE && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MH && var5 == ESPA && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MH && var5 == FINL && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MH && var5 == GRBR && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MH && var5 == GREC && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MH && var5 == HOLL && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MH && var5 == IRLA && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MH && var5 == ISLA && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MH && var5 == ITAL && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MH && var5 == NORV && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MH && var5 == PORT && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MH && var5 == SUED && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MH && var5 == SUIS && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MH && var5 == YOUG && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MH && var5 == MAGH && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MH && var5 == TURQ && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MH && var5 == ARGE && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MH && var5 == BRES && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MH && var5 == CHIL && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MH && var5 == COLO && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MH && var5 == AFSU && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MH && var5 == MARO && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MH && var5 == ISRA && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MH && var5 == HONG && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MH && var5 == TCHE && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MH && var5 == POLO && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MH && var5 == SLVQ && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MH && var5 == JAPO && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MH && var5 == TAIW && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MH && var5 == AUST && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MH && var5 == URUG && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MH && var5 == DAIB && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MH && var5 == DAIC && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MH && var5 == DAID && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MH && var5 == DAIF && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MH && var5 == EUOR && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MH && var5 == CETI && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MG && var5 == FRAN && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MG && var5 == FRAN && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MG && var5 == DOTO && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MG && var5 == DOTO && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MG && var5 == ALLE && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MG && var5 == ALLE && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MG && var5 == AUTR && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MG && var5 == AUTR && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MG && var5 == BELG && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MG && var5 == BELG && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MG && var5 == DANE && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MG && var5 == DANE && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MG && var5 == ESPA && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MG && var5 == ESPA && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MG && var5 == FINL && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MG && var5 == FINL && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MG && var5 == GRBR && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MG && var5 == GRBR && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MG && var5 == GREC && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MG && var5 == GREC && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MG && var5 == HOLL && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MG && var5 == HOLL && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MG && var5 == IRLA && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MG && var5 == IRLA && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MG && var5 == ISLA && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MG && var5 == ISLA && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MG && var5 == ITAL && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MG && var5 == ITAL && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MG && var5 == NORV && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MG && var5 == NORV && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MG && var5 == PORT && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MG && var5 == PORT && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MG && var5 == SUED && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MG && var5 == SUED && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MG && var5 == SUIS && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MG && var5 == SUIS && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MG && var5 == YOUG && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MG && var5 == YOUG && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MG && var5 == MAGH && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MG && var5 == TURQ && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MG && var5 == TURQ && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MG && var5 == ARGE && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MG && var5 == ARGE && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MG && var5 == BRES && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MG && var5 == BRES && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MG && var5 == CHIL && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MG && var5 == CHIL && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MG && var5 == COLO && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MG && var5 == COLO && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MG && var5 == AFSU && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MG && var5 == AFSU && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MG && var5 == MARO && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MG && var5 == ISRA && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MG && var5 == ISRA && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MG && var5 == HONG && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MG && var5 == HONG && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MG && var5 == TCHE && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MG && var5 == TCHE && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MG && var5 == POLO && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MG && var5 == POLO && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MG && var5 == SLVQ && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MG && var5 == SLVQ && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MG && var5 == JAPO && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MG && var5 == JAPO && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MG && var5 == TAIW && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MG && var5 == TAIW && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MG && var5 == AUST && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MG && var5 == AUST && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MG && var5 == URUG && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MG && var5 == URUG && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MG && var5 == DAIB && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MG && var5 == DAIC && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MG && var5 == DAIC && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MG && var5 == DAID && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MG && var5 == DAID && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MG && var5 == DAIF && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MG && var5 == DAIF && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MG && var5 == EUOR && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MG && var5 == EUOR && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MG && var5 == CETI && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MY && var5 == FRAN && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MY && var5 == FRAN && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MY && var5 == DOTO && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MY && var5 == DOTO && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MY && var5 == ALLE && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MY && var5 == ALLE && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MY && var5 == AUTR && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MY && var5 == AUTR && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MY && var5 == BELG && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MY && var5 == BELG && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MY && var5 == DANE && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MY && var5 == DANE && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MY && var5 == ESPA && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MY && var5 == ESPA && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MY && var5 == FINL && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MY && var5 == FINL && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MY && var5 == GRBR && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MY && var5 == GRBR && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MY && var5 == GREC && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MY && var5 == GREC && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MY && var5 == HOLL && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MY && var5 == HOLL && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MY && var5 == IRLA && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MY && var5 == IRLA && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MY && var5 == ISLA && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MY && var5 == ISLA && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MY && var5 == ITAL && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MY && var5 == ITAL && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MY && var5 == NORV && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MY && var5 == NORV && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MY && var5 == PORT && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MY && var5 == PORT && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MY && var5 == SUED && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MY && var5 == SUED && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MY && var5 == SUIS && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MY && var5 == SUIS && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MY && var5 == YOUG && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MY && var5 == YOUG && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MY && var5 == MAGH && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MY && var5 == TURQ && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MY && var5 == TURQ && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MY && var5 == ARGE && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MY && var5 == ARGE && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MY && var5 == BRES && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MY && var5 == BRES && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MY && var5 == CHIL && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MY && var5 == CHIL && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MY && var5 == COLO && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MY && var5 == COLO && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MY && var5 == AFSU && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MY && var5 == AFSU && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MY && var5 == MARO && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MY && var5 == ISRA && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MY && var5 == ISRA && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MY && var5 == HONG && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MY && var5 == HONG && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MY && var5 == TCHE && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MY && var5 == TCHE && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MY && var5 == POLO && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MY && var5 == POLO && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MY && var5 == SLVQ && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MY && var5 == SLVQ && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MY && var5 == JAPO && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MY && var5 == JAPO && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MY && var5 == TAIW && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MY && var5 == TAIW && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MY && var5 == AUST && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MY && var5 == AUST && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MY && var5 == URUG && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MY && var5 == URUG && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MY && var5 == DAIB && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MY && var5 == DAIC && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MY && var5 == DAIC && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MY && var5 == DAID && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MY && var5 == DAID && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MY && var5 == DAIF && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MY && var5 == DAIF && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MY && var5 == EUOR && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == MY && var5 == EUOR && var11 == ABS && var94 == EU96 ) || ( var2 == E2 && var3 == MY && var5 == CETI && var11 == SSABS && var94 == EU96 ) || ( var2 == E2 && var3 == NM2K && var5 == FRAN && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM2K && var5 == FRAN && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM2K && var5 == DOTO && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM2K && var5 == DOTO && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM2K && var5 == ALLE && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM2K && var5 == ALLE && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM2K && var5 == AUTR && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM2K && var5 == AUTR && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM2K && var5 == BELG && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM2K && var5 == BELG && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM2K && var5 == DANE && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM2K && var5 == DANE && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM2K && var5 == ESPA && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM2K && var5 == ESPA && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM2K && var5 == FINL && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM2K && var5 == FINL && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM2K && var5 == GRBR && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM2K && var5 == GRBR && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM2K && var5 == GREC && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM2K && var5 == GREC && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM2K && var5 == HOLL && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM2K && var5 == HOLL && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM2K && var5 == IRLA && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM2K && var5 == IRLA && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM2K && var5 == ISLA && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM2K && var5 == ISLA && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM2K && var5 == ITAL && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM2K && var5 == ITAL && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM2K && var5 == NORV && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM2K && var5 == NORV && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM2K && var5 == PORT && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM2K && var5 == PORT && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM2K && var5 == SUED && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM2K && var5 == SUED && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM2K && var5 == SUIS && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM2K && var5 == SUIS && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM2K && var5 == YOUG && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM2K && var5 == YOUG && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM2K && var5 == MAGH && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM2K && var5 == TURQ && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM2K && var5 == TURQ && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM2K && var5 == ARGE && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM2K && var5 == ARGE && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM2K && var5 == BRES && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM2K && var5 == BRES && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM2K && var5 == CHIL && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM2K && var5 == CHIL && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM2K && var5 == COLO && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM2K && var5 == COLO && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM2K && var5 == AFSU && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM2K && var5 == AFSU && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM2K && var5 == MARO && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM2K && var5 == ISRA && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM2K && var5 == ISRA && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM2K && var5 == HONG && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM2K && var5 == HONG && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM2K && var5 == TCHE && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM2K && var5 == TCHE && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM2K && var5 == POLO && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM2K && var5 == POLO && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM2K && var5 == SLVQ && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM2K && var5 == SLVQ && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM2K && var5 == JAPO && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM2K && var5 == JAPO && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM2K && var5 == TAIW && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM2K && var5 == TAIW && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM2K && var5 == AUST && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM2K && var5 == AUST && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM2K && var5 == URUG && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM2K && var5 == URUG && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM2K && var5 == DAIB && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM2K && var5 == DAIC && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM2K && var5 == DAIC && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM2K && var5 == DAID && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM2K && var5 == DAID && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM2K && var5 == DAIF && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM2K && var5 == DAIF && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM2K && var5 == EUOR && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM2K && var5 == EUOR && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM2K && var5 == CETI && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM0C && var5 == FRAN && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM0C && var5 == FRAN && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM0C && var5 == DOTO && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM0C && var5 == DOTO && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM0C && var5 == ALLE && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM0C && var5 == ALLE && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM0C && var5 == AUTR && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM0C && var5 == AUTR && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM0C && var5 == BELG && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM0C && var5 == BELG && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM0C && var5 == DANE && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM0C && var5 == DANE && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM0C && var5 == ESPA && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM0C && var5 == ESPA && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM0C && var5 == FINL && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM0C && var5 == FINL && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM0C && var5 == GRBR && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM0C && var5 == GRBR && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM0C && var5 == GREC && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM0C && var5 == GREC && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM0C && var5 == HOLL && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM0C && var5 == HOLL && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM0C && var5 == IRLA && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM0C && var5 == IRLA && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM0C && var5 == ISLA && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM0C && var5 == ISLA && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM0C && var5 == ITAL && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM0C && var5 == ITAL && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM0C && var5 == NORV && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM0C && var5 == NORV && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM0C && var5 == PORT && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM0C && var5 == PORT && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM0C && var5 == SUED && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM0C && var5 == SUED && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM0C && var5 == SUIS && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM0C && var5 == SUIS && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM0C && var5 == YOUG && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM0C && var5 == YOUG && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM0C && var5 == MAGH && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM0C && var5 == TURQ && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM0C && var5 == TURQ && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM0C && var5 == ARGE && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM0C && var5 == ARGE && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM0C && var5 == BRES && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM0C && var5 == BRES && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM0C && var5 == CHIL && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM0C && var5 == CHIL && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM0C && var5 == COLO && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM0C && var5 == COLO && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM0C && var5 == AFSU && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM0C && var5 == AFSU && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM0C && var5 == MARO && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM0C && var5 == ISRA && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM0C && var5 == ISRA && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM0C && var5 == HONG && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM0C && var5 == HONG && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM0C && var5 == TCHE && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM0C && var5 == TCHE && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM0C && var5 == POLO && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM0C && var5 == POLO && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM0C && var5 == SLVQ && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM0C && var5 == SLVQ && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM0C && var5 == JAPO && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM0C && var5 == JAPO && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM0C && var5 == TAIW && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM0C && var5 == TAIW && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM0C && var5 == AUST && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM0C && var5 == AUST && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM0C && var5 == URUG && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM0C && var5 == URUG && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM0C && var5 == DAIB && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM0C && var5 == DAIC && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM0C && var5 == DAIC && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM0C && var5 == DAID && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM0C && var5 == DAID && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM0C && var5 == DAIF && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM0C && var5 == DAIF && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM0C && var5 == EUOR && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM0C && var5 == EUOR && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == NM0C && var5 == CETI && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == ND1G && var5 == FRAN && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == ND1G && var5 == FRAN && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == ND1G && var5 == DOTO && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == ND1G && var5 == DOTO && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == ND1G && var5 == ALLE && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == ND1G && var5 == ALLE && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == ND1G && var5 == AUTR && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == ND1G && var5 == AUTR && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == ND1G && var5 == BELG && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == ND1G && var5 == BELG && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == ND1G && var5 == DANE && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == ND1G && var5 == DANE && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == ND1G && var5 == ESPA && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == ND1G && var5 == ESPA && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == ND1G && var5 == FINL && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == ND1G && var5 == FINL && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == ND1G && var5 == GRBR && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == ND1G && var5 == GRBR && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == ND1G && var5 == GREC && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == ND1G && var5 == GREC && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == ND1G && var5 == HOLL && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == ND1G && var5 == HOLL && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == ND1G && var5 == IRLA && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == ND1G && var5 == IRLA && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == ND1G && var5 == ISLA && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == ND1G && var5 == ISLA && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == ND1G && var5 == ITAL && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == ND1G && var5 == ITAL && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == ND1G && var5 == NORV && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == ND1G && var5 == NORV && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == ND1G && var5 == PORT && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == ND1G && var5 == PORT && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == ND1G && var5 == SUED && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == ND1G && var5 == SUED && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == ND1G && var5 == SUIS && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == ND1G && var5 == SUIS && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == ND1G && var5 == YOUG && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == ND1G && var5 == YOUG && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == ND1G && var5 == MAGH && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == ND1G && var5 == TURQ && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == ND1G && var5 == TURQ && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == ND1G && var5 == ARGE && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == ND1G && var5 == ARGE && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == ND1G && var5 == BRES && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == ND1G && var5 == BRES && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == ND1G && var5 == CHIL && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == ND1G && var5 == CHIL && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == ND1G && var5 == COLO && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == ND1G && var5 == COLO && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == ND1G && var5 == AFSU && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == ND1G && var5 == AFSU && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == ND1G && var5 == MARO && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == ND1G && var5 == ISRA && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == ND1G && var5 == ISRA && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == ND1G && var5 == HONG && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == ND1G && var5 == HONG && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == ND1G && var5 == TCHE && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == ND1G && var5 == TCHE && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == ND1G && var5 == POLO && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == ND1G && var5 == POLO && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == ND1G && var5 == SLVQ && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == ND1G && var5 == SLVQ && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == ND1G && var5 == JAPO && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == ND1G && var5 == JAPO && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == ND1G && var5 == TAIW && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == ND1G && var5 == TAIW && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == ND1G && var5 == AUST && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == ND1G && var5 == AUST && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == ND1G && var5 == URUG && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == ND1G && var5 == URUG && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == ND1G && var5 == DAIB && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == ND1G && var5 == DAIC && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == ND1G && var5 == DAIC && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == ND1G && var5 == DAID && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == ND1G && var5 == DAID && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == ND1G && var5 == DAIF && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == ND1G && var5 == DAIF && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == ND1G && var5 == EUOR && var11 == SSABS && var94 == EU00 ) || ( var2 == E2 && var3 == ND1G && var5 == EUOR && var11 == ABS && var94 == EU00 ) || ( var2 == E2 && var3 == ND1G && var5 == CETI && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == M5 && var5 == FRAN && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == M5 && var5 == FRAN && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == M5 && var5 == DOTO && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == M5 && var5 == DOTO && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == M5 && var5 == ALLE && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == M5 && var5 == ALLE && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == M5 && var5 == AUTR && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == M5 && var5 == AUTR && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == M5 && var5 == BELG && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == M5 && var5 == BELG && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == M5 && var5 == DANE && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == M5 && var5 == DANE && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == M5 && var5 == ESPA && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == M5 && var5 == ESPA && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == M5 && var5 == FINL && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == M5 && var5 == FINL && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == M5 && var5 == GRBR && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == M5 && var5 == GRBR && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == M5 && var5 == GREC && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == M5 && var5 == GREC && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == M5 && var5 == HOLL && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == M5 && var5 == HOLL && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == M5 && var5 == IRLA && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == M5 && var5 == IRLA && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == M5 && var5 == ISLA && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == M5 && var5 == ISLA && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == M5 && var5 == ITAL && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == M5 && var5 == ITAL && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == M5 && var5 == NORV && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == M5 && var5 == NORV && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == M5 && var5 == PORT && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == M5 && var5 == PORT && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == M5 && var5 == SUED && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == M5 && var5 == SUED && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == M5 && var5 == SUIS && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == M5 && var5 == SUIS && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == M5 && var5 == YOUG && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == M5 && var5 == YOUG && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == M5 && var5 == MAGH && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == M5 && var5 == TURQ && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == M5 && var5 == TURQ && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == M5 && var5 == ARGE && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == M5 && var5 == ARGE && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == M5 && var5 == BRES && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == M5 && var5 == BRES && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == M5 && var5 == CHIL && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == M5 && var5 == CHIL && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == M5 && var5 == COLO && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == M5 && var5 == COLO && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == M5 && var5 == AFSU && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == M5 && var5 == AFSU && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == M5 && var5 == MARO && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == M5 && var5 == ISRA && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == M5 && var5 == ISRA && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == M5 && var5 == HONG && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == M5 && var5 == HONG && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == M5 && var5 == TCHE && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == M5 && var5 == TCHE && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == M5 && var5 == POLO && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == M5 && var5 == POLO && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == M5 && var5 == SLVQ && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == M5 && var5 == SLVQ && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == M5 && var5 == JAPO && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == M5 && var5 == JAPO && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == M5 && var5 == TAIW && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == M5 && var5 == TAIW && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == M5 && var5 == AUST && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == M5 && var5 == AUST && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == M5 && var5 == URUG && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == M5 && var5 == URUG && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == M5 && var5 == DAIB && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == M5 && var5 == DAIC && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == M5 && var5 == DAIC && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == M5 && var5 == DAID && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == M5 && var5 == DAID && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == M5 && var5 == DAIF && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == M5 && var5 == DAIF && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == M5 && var5 == EUOR && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == M5 && var5 == EUOR && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == M5 && var5 == CETI && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == M6 && var5 == FRAN && var11 == SSABS && var94 == EU93 ) || ( var2 == E3 && var3 == M6 && var5 == DOTO && var11 == SSABS && var94 == EU93 ) || ( var2 == E3 && var3 == M6 && var5 == ALLE && var11 == SSABS && var94 == EU93 ) || ( var2 == E3 && var3 == M6 && var5 == AUTR && var11 == SSABS && var94 == EU93 ) || ( var2 == E3 && var3 == M6 && var5 == BELG && var11 == SSABS && var94 == EU93 ) || ( var2 == E3 && var3 == M6 && var5 == DANE && var11 == SSABS && var94 == EU93 ) || ( var2 == E3 && var3 == M6 && var5 == ESPA && var11 == SSABS && var94 == EU93 ) || ( var2 == E3 && var3 == M6 && var5 == FINL && var11 == SSABS && var94 == EU93 ) || ( var2 == E3 && var3 == M6 && var5 == GRBR && var11 == SSABS && var94 == EU93 ) || ( var2 == E3 && var3 == M6 && var5 == GREC && var11 == SSABS && var94 == EU93 ) || ( var2 == E3 && var3 == M6 && var5 == HOLL && var11 == SSABS && var94 == EU93 ) || ( var2 == E3 && var3 == M6 && var5 == IRLA && var11 == SSABS && var94 == EU93 ) || ( var2 == E3 && var3 == M6 && var5 == ISLA && var11 == SSABS && var94 == EU93 ) || ( var2 == E3 && var3 == M6 && var5 == ITAL && var11 == SSABS && var94 == EU93 ) || ( var2 == E3 && var3 == M6 && var5 == NORV && var11 == SSABS && var94 == EU93 ) || ( var2 == E3 && var3 == M6 && var5 == PORT && var11 == SSABS && var94 == EU93 ) || ( var2 == E3 && var3 == M6 && var5 == SUED && var11 == SSABS && var94 == EU93 ) || ( var2 == E3 && var3 == M6 && var5 == SUIS && var11 == SSABS && var94 == EU93 ) || ( var2 == E3 && var3 == M6 && var5 == YOUG && var11 == SSABS && var94 == EU93 ) || ( var2 == E3 && var3 == M6 && var5 == MAGH && var11 == SSABS && var94 == EU93 ) || ( var2 == E3 && var3 == M6 && var5 == TURQ && var11 == SSABS && var94 == EU93 ) || ( var2 == E3 && var3 == M6 && var5 == ARGE && var11 == SSABS && var94 == EU93 ) || ( var2 == E3 && var3 == M6 && var5 == BRES && var11 == SSABS && var94 == EU93 ) || ( var2 == E3 && var3 == M6 && var5 == CHIL && var11 == SSABS && var94 == EU93 ) || ( var2 == E3 && var3 == M6 && var5 == COLO && var11 == SSABS && var94 == EU93 ) || ( var2 == E3 && var3 == M6 && var5 == AFSU && var11 == SSABS && var94 == EU93 ) || ( var2 == E3 && var3 == M6 && var5 == MARO && var11 == SSABS && var94 == EU93 ) || ( var2 == E3 && var3 == M6 && var5 == ISRA && var11 == SSABS && var94 == EU93 ) || ( var2 == E3 && var3 == M6 && var5 == HONG && var11 == SSABS && var94 == EU93 ) || ( var2 == E3 && var3 == M6 && var5 == TCHE && var11 == SSABS && var94 == EU93 ) || ( var2 == E3 && var3 == M6 && var5 == POLO && var11 == SSABS && var94 == EU93 ) || ( var2 == E3 && var3 == M6 && var5 == SLVQ && var11 == SSABS && var94 == EU93 ) || ( var2 == E3 && var3 == M6 && var5 == JAPO && var11 == SSABS && var94 == EU93 ) || ( var2 == E3 && var3 == M6 && var5 == TAIW && var11 == SSABS && var94 == EU93 ) || ( var2 == E3 && var3 == M6 && var5 == AUST && var11 == SSABS && var94 == EU93 ) || ( var2 == E3 && var3 == M6 && var5 == URUG && var11 == SSABS && var94 == EU93 ) || ( var2 == E3 && var3 == M6 && var5 == DAIB && var11 == SSABS && var94 == EU93 ) || ( var2 == E3 && var3 == M6 && var5 == DAIC && var11 == SSABS && var94 == EU93 ) || ( var2 == E3 && var3 == M6 && var5 == DAID && var11 == SSABS && var94 == EU93 ) || ( var2 == E3 && var3 == M6 && var5 == DAIF && var11 == SSABS && var94 == EU93 ) || ( var2 == E3 && var3 == M6 && var5 == EUOR && var11 == SSABS && var94 == EU93 ) || ( var2 == E3 && var3 == M6 && var5 == CETI && var11 == SSABS && var94 == EU93 ) || ( var2 == E3 && var3 == M7 && var5 == FRAN && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E3 && var3 == M7 && var5 == DOTO && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E3 && var3 == M7 && var5 == ALLE && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E3 && var3 == M7 && var5 == AUTR && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E3 && var3 == M7 && var5 == BELG && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E3 && var3 == M7 && var5 == DANE && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E3 && var3 == M7 && var5 == ESPA && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E3 && var3 == M7 && var5 == FINL && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E3 && var3 == M7 && var5 == GRBR && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E3 && var3 == M7 && var5 == GREC && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E3 && var3 == M7 && var5 == HOLL && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E3 && var3 == M7 && var5 == IRLA && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E3 && var3 == M7 && var5 == ISLA && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E3 && var3 == M7 && var5 == ITAL && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E3 && var3 == M7 && var5 == NORV && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E3 && var3 == M7 && var5 == PORT && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E3 && var3 == M7 && var5 == SUED && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E3 && var3 == M7 && var5 == SUIS && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E3 && var3 == M7 && var5 == YOUG && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E3 && var3 == M7 && var5 == MAGH && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E3 && var3 == M7 && var5 == TURQ && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E3 && var3 == M7 && var5 == ARGE && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E3 && var3 == M7 && var5 == BRES && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E3 && var3 == M7 && var5 == CHIL && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E3 && var3 == M7 && var5 == COLO && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E3 && var3 == M7 && var5 == AFSU && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E3 && var3 == M7 && var5 == MARO && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E3 && var3 == M7 && var5 == ISRA && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E3 && var3 == M7 && var5 == HONG && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E3 && var3 == M7 && var5 == TCHE && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E3 && var3 == M7 && var5 == POLO && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E3 && var3 == M7 && var5 == SLVQ && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E3 && var3 == M7 && var5 == JAPO && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E3 && var3 == M7 && var5 == TAIW && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E3 && var3 == M7 && var5 == AUST && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E3 && var3 == M7 && var5 == URUG && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E3 && var3 == M7 && var5 == DAIB && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E3 && var3 == M7 && var5 == DAIC && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E3 && var3 == M7 && var5 == DAID && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E3 && var3 == M7 && var5 == DAIF && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E3 && var3 == M7 && var5 == EUOR && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E3 && var3 == M7 && var5 == CETI && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E3 && var3 == M8 && var5 == FRAN && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == M8 && var5 == FRAN && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == M8 && var5 == DOTO && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == M8 && var5 == DOTO && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == M8 && var5 == ALLE && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == M8 && var5 == ALLE && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == M8 && var5 == AUTR && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == M8 && var5 == AUTR && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == M8 && var5 == BELG && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == M8 && var5 == BELG && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == M8 && var5 == DANE && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == M8 && var5 == DANE && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == M8 && var5 == ESPA && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == M8 && var5 == ESPA && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == M8 && var5 == FINL && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == M8 && var5 == FINL && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == M8 && var5 == GRBR && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == M8 && var5 == GRBR && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == M8 && var5 == GREC && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == M8 && var5 == GREC && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == M8 && var5 == HOLL && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == M8 && var5 == HOLL && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == M8 && var5 == IRLA && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == M8 && var5 == IRLA && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == M8 && var5 == ISLA && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == M8 && var5 == ISLA && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == M8 && var5 == ITAL && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == M8 && var5 == ITAL && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == M8 && var5 == NORV && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == M8 && var5 == NORV && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == M8 && var5 == PORT && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == M8 && var5 == PORT && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == M8 && var5 == SUED && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == M8 && var5 == SUED && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == M8 && var5 == SUIS && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == M8 && var5 == SUIS && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == M8 && var5 == YOUG && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == M8 && var5 == YOUG && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == M8 && var5 == MAGH && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == M8 && var5 == TURQ && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == M8 && var5 == TURQ && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == M8 && var5 == ARGE && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == M8 && var5 == ARGE && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == M8 && var5 == BRES && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == M8 && var5 == BRES && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == M8 && var5 == CHIL && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == M8 && var5 == CHIL && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == M8 && var5 == COLO && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == M8 && var5 == COLO && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == M8 && var5 == AFSU && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == M8 && var5 == AFSU && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == M8 && var5 == MARO && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == M8 && var5 == ISRA && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == M8 && var5 == ISRA && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == M8 && var5 == HONG && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == M8 && var5 == HONG && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == M8 && var5 == TCHE && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == M8 && var5 == TCHE && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == M8 && var5 == POLO && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == M8 && var5 == POLO && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == M8 && var5 == SLVQ && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == M8 && var5 == SLVQ && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == M8 && var5 == JAPO && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == M8 && var5 == JAPO && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == M8 && var5 == TAIW && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == M8 && var5 == TAIW && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == M8 && var5 == AUST && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == M8 && var5 == AUST && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == M8 && var5 == URUG && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == M8 && var5 == URUG && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == M8 && var5 == DAIB && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == M8 && var5 == DAIC && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == M8 && var5 == DAIC && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == M8 && var5 == DAID && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == M8 && var5 == DAID && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == M8 && var5 == DAIF && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == M8 && var5 == DAIF && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == M8 && var5 == EUOR && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == M8 && var5 == EUOR && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == M8 && var5 == CETI && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == M9 && var5 == FRAN && var11 == SSABS && var94 == EU93 ) || ( var2 == E3 && var3 == M9 && var5 == FRAN && var11 == ABS && var94 == EU93 ) || ( var2 == E3 && var3 == M9 && var5 == DOTO && var11 == SSABS && var94 == EU93 ) || ( var2 == E3 && var3 == M9 && var5 == DOTO && var11 == ABS && var94 == EU93 ) || ( var2 == E3 && var3 == M9 && var5 == ALLE && var11 == SSABS && var94 == EU93 ) || ( var2 == E3 && var3 == M9 && var5 == ALLE && var11 == ABS && var94 == EU93 ) || ( var2 == E3 && var3 == M9 && var5 == AUTR && var11 == SSABS && var94 == EU93 ) || ( var2 == E3 && var3 == M9 && var5 == AUTR && var11 == ABS && var94 == EU93 ) || ( var2 == E3 && var3 == M9 && var5 == BELG && var11 == SSABS && var94 == EU93 ) || ( var2 == E3 && var3 == M9 && var5 == BELG && var11 == ABS && var94 == EU93 ) || ( var2 == E3 && var3 == M9 && var5 == DANE && var11 == SSABS && var94 == EU93 ) || ( var2 == E3 && var3 == M9 && var5 == DANE && var11 == ABS && var94 == EU93 ) || ( var2 == E3 && var3 == M9 && var5 == ESPA && var11 == SSABS && var94 == EU93 ) || ( var2 == E3 && var3 == M9 && var5 == ESPA && var11 == ABS && var94 == EU93 ) || ( var2 == E3 && var3 == M9 && var5 == FINL && var11 == SSABS && var94 == EU93 ) || ( var2 == E3 && var3 == M9 && var5 == FINL && var11 == ABS && var94 == EU93 ) || ( var2 == E3 && var3 == M9 && var5 == GRBR && var11 == SSABS && var94 == EU93 ) || ( var2 == E3 && var3 == M9 && var5 == GRBR && var11 == ABS && var94 == EU93 ) || ( var2 == E3 && var3 == M9 && var5 == GREC && var11 == SSABS && var94 == EU93 ) || ( var2 == E3 && var3 == M9 && var5 == GREC && var11 == ABS && var94 == EU93 ) || ( var2 == E3 && var3 == M9 && var5 == HOLL && var11 == SSABS && var94 == EU93 ) || ( var2 == E3 && var3 == M9 && var5 == HOLL && var11 == ABS && var94 == EU93 ) || ( var2 == E3 && var3 == M9 && var5 == IRLA && var11 == SSABS && var94 == EU93 ) || ( var2 == E3 && var3 == M9 && var5 == IRLA && var11 == ABS && var94 == EU93 ) || ( var2 == E3 && var3 == M9 && var5 == ISLA && var11 == SSABS && var94 == EU93 ) || ( var2 == E3 && var3 == M9 && var5 == ISLA && var11 == ABS && var94 == EU93 ) || ( var2 == E3 && var3 == M9 && var5 == ITAL && var11 == SSABS && var94 == EU93 ) || ( var2 == E3 && var3 == M9 && var5 == ITAL && var11 == ABS && var94 == EU93 ) || ( var2 == E3 && var3 == M9 && var5 == NORV && var11 == SSABS && var94 == EU93 ) || ( var2 == E3 && var3 == M9 && var5 == NORV && var11 == ABS && var94 == EU93 ) || ( var2 == E3 && var3 == M9 && var5 == PORT && var11 == SSABS && var94 == EU93 ) || ( var2 == E3 && var3 == M9 && var5 == PORT && var11 == ABS && var94 == EU93 ) || ( var2 == E3 && var3 == M9 && var5 == SUED && var11 == SSABS && var94 == EU93 ) || ( var2 == E3 && var3 == M9 && var5 == SUED && var11 == ABS && var94 == EU93 ) || ( var2 == E3 && var3 == M9 && var5 == SUIS && var11 == SSABS && var94 == EU93 ) || ( var2 == E3 && var3 == M9 && var5 == SUIS && var11 == ABS && var94 == EU93 ) || ( var2 == E3 && var3 == M9 && var5 == YOUG && var11 == SSABS && var94 == EU93 ) || ( var2 == E3 && var3 == M9 && var5 == YOUG && var11 == ABS && var94 == EU93 ) || ( var2 == E3 && var3 == M9 && var5 == MAGH && var11 == SSABS && var94 == EU93 ) || ( var2 == E3 && var3 == M9 && var5 == TURQ && var11 == SSABS && var94 == EU93 ) || ( var2 == E3 && var3 == M9 && var5 == TURQ && var11 == ABS && var94 == EU93 ) || ( var2 == E3 && var3 == M9 && var5 == ARGE && var11 == SSABS && var94 == EU93 ) || ( var2 == E3 && var3 == M9 && var5 == ARGE && var11 == ABS && var94 == EU93 ) || ( var2 == E3 && var3 == M9 && var5 == BRES && var11 == SSABS && var94 == EU93 ) || ( var2 == E3 && var3 == M9 && var5 == BRES && var11 == ABS && var94 == EU93 ) || ( var2 == E3 && var3 == M9 && var5 == CHIL && var11 == SSABS && var94 == EU93 ) || ( var2 == E3 && var3 == M9 && var5 == CHIL && var11 == ABS && var94 == EU93 ) || ( var2 == E3 && var3 == M9 && var5 == COLO && var11 == SSABS && var94 == EU93 ) || ( var2 == E3 && var3 == M9 && var5 == COLO && var11 == ABS && var94 == EU93 ) || ( var2 == E3 && var3 == M9 && var5 == AFSU && var11 == SSABS && var94 == EU93 ) || ( var2 == E3 && var3 == M9 && var5 == AFSU && var11 == ABS && var94 == EU93 ) || ( var2 == E3 && var3 == M9 && var5 == MARO && var11 == SSABS && var94 == EU93 ) || ( var2 == E3 && var3 == M9 && var5 == ISRA && var11 == SSABS && var94 == EU93 ) || ( var2 == E3 && var3 == M9 && var5 == ISRA && var11 == ABS && var94 == EU93 ) || ( var2 == E3 && var3 == M9 && var5 == HONG && var11 == SSABS && var94 == EU93 ) || ( var2 == E3 && var3 == M9 && var5 == HONG && var11 == ABS && var94 == EU93 ) || ( var2 == E3 && var3 == M9 && var5 == TCHE && var11 == SSABS && var94 == EU93 ) || ( var2 == E3 && var3 == M9 && var5 == TCHE && var11 == ABS && var94 == EU93 ) || ( var2 == E3 && var3 == M9 && var5 == POLO && var11 == SSABS && var94 == EU93 ) || ( var2 == E3 && var3 == M9 && var5 == POLO && var11 == ABS && var94 == EU93 ) || ( var2 == E3 && var3 == M9 && var5 == SLVQ && var11 == SSABS && var94 == EU93 ) || ( var2 == E3 && var3 == M9 && var5 == SLVQ && var11 == ABS && var94 == EU93 ) || ( var2 == E3 && var3 == M9 && var5 == JAPO && var11 == SSABS && var94 == EU93 ) || ( var2 == E3 && var3 == M9 && var5 == JAPO && var11 == ABS && var94 == EU93 ) || ( var2 == E3 && var3 == M9 && var5 == TAIW && var11 == SSABS && var94 == EU93 ) || ( var2 == E3 && var3 == M9 && var5 == TAIW && var11 == ABS && var94 == EU93 ) || ( var2 == E3 && var3 == M9 && var5 == AUST && var11 == SSABS && var94 == EU93 ) || ( var2 == E3 && var3 == M9 && var5 == AUST && var11 == ABS && var94 == EU93 ) || ( var2 == E3 && var3 == M9 && var5 == URUG && var11 == SSABS && var94 == EU93 ) || ( var2 == E3 && var3 == M9 && var5 == URUG && var11 == ABS && var94 == EU93 ) || ( var2 == E3 && var3 == M9 && var5 == DAIB && var11 == SSABS && var94 == EU93 ) || ( var2 == E3 && var3 == M9 && var5 == DAIC && var11 == SSABS && var94 == EU93 ) || ( var2 == E3 && var3 == M9 && var5 == DAIC && var11 == ABS && var94 == EU93 ) || ( var2 == E3 && var3 == M9 && var5 == DAID && var11 == SSABS && var94 == EU93 ) || ( var2 == E3 && var3 == M9 && var5 == DAID && var11 == ABS && var94 == EU93 ) || ( var2 == E3 && var3 == M9 && var5 == DAIF && var11 == SSABS && var94 == EU93 ) || ( var2 == E3 && var3 == M9 && var5 == DAIF && var11 == ABS && var94 == EU93 ) || ( var2 == E3 && var3 == M9 && var5 == EUOR && var11 == SSABS && var94 == EU93 ) || ( var2 == E3 && var3 == M9 && var5 == EUOR && var11 == ABS && var94 == EU93 ) || ( var2 == E3 && var3 == M9 && var5 == CETI && var11 == SSABS && var94 == EU93 ) || ( var2 == E3 && var3 == MA && var5 == FRAN && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MA && var5 == FRAN && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MA && var5 == DOTO && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MA && var5 == DOTO && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MA && var5 == ALLE && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MA && var5 == ALLE && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MA && var5 == AUTR && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MA && var5 == AUTR && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MA && var5 == BELG && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MA && var5 == BELG && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MA && var5 == DANE && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MA && var5 == DANE && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MA && var5 == ESPA && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MA && var5 == ESPA && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MA && var5 == FINL && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MA && var5 == FINL && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MA && var5 == GRBR && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MA && var5 == GRBR && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MA && var5 == GREC && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MA && var5 == GREC && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MA && var5 == HOLL && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MA && var5 == HOLL && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MA && var5 == IRLA && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MA && var5 == IRLA && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MA && var5 == ISLA && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MA && var5 == ISLA && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MA && var5 == ITAL && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MA && var5 == ITAL && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MA && var5 == NORV && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MA && var5 == NORV && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MA && var5 == PORT && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MA && var5 == PORT && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MA && var5 == SUED && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MA && var5 == SUED && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MA && var5 == SUIS && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MA && var5 == SUIS && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MA && var5 == YOUG && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MA && var5 == YOUG && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MA && var5 == MAGH && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MA && var5 == TURQ && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MA && var5 == TURQ && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MA && var5 == ARGE && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MA && var5 == ARGE && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MA && var5 == BRES && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MA && var5 == BRES && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MA && var5 == CHIL && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MA && var5 == CHIL && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MA && var5 == COLO && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MA && var5 == COLO && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MA && var5 == AFSU && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MA && var5 == AFSU && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MA && var5 == MARO && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MA && var5 == ISRA && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MA && var5 == ISRA && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MA && var5 == HONG && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MA && var5 == HONG && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MA && var5 == TCHE && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MA && var5 == TCHE && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MA && var5 == POLO && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MA && var5 == POLO && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MA && var5 == SLVQ && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MA && var5 == SLVQ && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MA && var5 == JAPO && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MA && var5 == JAPO && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MA && var5 == TAIW && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MA && var5 == TAIW && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MA && var5 == AUST && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MA && var5 == AUST && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MA && var5 == URUG && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MA && var5 == URUG && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MA && var5 == DAIB && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MA && var5 == DAIC && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MA && var5 == DAIC && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MA && var5 == DAID && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MA && var5 == DAID && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MA && var5 == DAIF && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MA && var5 == DAIF && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MA && var5 == EUOR && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MA && var5 == EUOR && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MA && var5 == CETI && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MB && var5 == FRAN && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == MB && var5 == FRAN && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MB && var5 == DOTO && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == MB && var5 == DOTO && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MB && var5 == ALLE && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == MB && var5 == ALLE && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MB && var5 == AUTR && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == MB && var5 == AUTR && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MB && var5 == BELG && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == MB && var5 == BELG && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MB && var5 == DANE && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == MB && var5 == DANE && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MB && var5 == ESPA && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == MB && var5 == ESPA && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MB && var5 == FINL && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == MB && var5 == FINL && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MB && var5 == GRBR && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == MB && var5 == GRBR && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MB && var5 == GREC && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == MB && var5 == GREC && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MB && var5 == HOLL && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == MB && var5 == HOLL && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MB && var5 == IRLA && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == MB && var5 == IRLA && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MB && var5 == ISLA && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == MB && var5 == ISLA && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MB && var5 == ITAL && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == MB && var5 == ITAL && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MB && var5 == NORV && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == MB && var5 == NORV && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MB && var5 == PORT && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == MB && var5 == PORT && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MB && var5 == SUED && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == MB && var5 == SUED && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MB && var5 == SUIS && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == MB && var5 == SUIS && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MB && var5 == YOUG && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == MB && var5 == YOUG && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MB && var5 == MAGH && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == MB && var5 == TURQ && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == MB && var5 == TURQ && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MB && var5 == ARGE && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == MB && var5 == ARGE && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MB && var5 == BRES && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == MB && var5 == BRES && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MB && var5 == CHIL && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == MB && var5 == CHIL && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MB && var5 == COLO && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == MB && var5 == COLO && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MB && var5 == AFSU && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == MB && var5 == AFSU && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MB && var5 == MARO && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == MB && var5 == ISRA && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == MB && var5 == ISRA && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MB && var5 == HONG && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == MB && var5 == HONG && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MB && var5 == TCHE && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == MB && var5 == TCHE && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MB && var5 == POLO && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == MB && var5 == POLO && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MB && var5 == SLVQ && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == MB && var5 == SLVQ && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MB && var5 == JAPO && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == MB && var5 == JAPO && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MB && var5 == TAIW && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == MB && var5 == TAIW && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MB && var5 == AUST && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == MB && var5 == AUST && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MB && var5 == URUG && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == MB && var5 == URUG && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MB && var5 == DAIB && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == MB && var5 == DAIC && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == MB && var5 == DAIC && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MB && var5 == DAID && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == MB && var5 == DAID && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MB && var5 == DAIF && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == MB && var5 == DAIF && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MB && var5 == EUOR && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == MB && var5 == EUOR && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MB && var5 == CETI && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == MC && var5 == FRAN && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MC && var5 == DOTO && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MC && var5 == ALLE && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MC && var5 == AUTR && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MC && var5 == BELG && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MC && var5 == DANE && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MC && var5 == ESPA && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MC && var5 == FINL && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MC && var5 == GRBR && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MC && var5 == GREC && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MC && var5 == HOLL && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MC && var5 == IRLA && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MC && var5 == ISLA && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MC && var5 == ITAL && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MC && var5 == NORV && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MC && var5 == PORT && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MC && var5 == SUED && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MC && var5 == SUIS && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MC && var5 == YOUG && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MC && var5 == MAGH && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == MC && var5 == TURQ && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MC && var5 == ARGE && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MC && var5 == BRES && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MC && var5 == CHIL && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MC && var5 == COLO && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MC && var5 == AFSU && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MC && var5 == MARO && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == MC && var5 == ISRA && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MC && var5 == HONG && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MC && var5 == TCHE && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MC && var5 == POLO && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MC && var5 == SLVQ && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MC && var5 == JAPO && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MC && var5 == TAIW && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MC && var5 == AUST && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MC && var5 == URUG && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MC && var5 == DAIB && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == MC && var5 == DAIC && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MC && var5 == DAID && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MC && var5 == DAIF && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MC && var5 == EUOR && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MC && var5 == CETI && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == MD && var5 == FRAN && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == MD && var5 == FRAN && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MD && var5 == DOTO && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == MD && var5 == DOTO && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MD && var5 == ALLE && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == MD && var5 == ALLE && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MD && var5 == AUTR && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == MD && var5 == AUTR && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MD && var5 == BELG && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == MD && var5 == BELG && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MD && var5 == DANE && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == MD && var5 == DANE && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MD && var5 == ESPA && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == MD && var5 == ESPA && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MD && var5 == FINL && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == MD && var5 == FINL && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MD && var5 == GRBR && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == MD && var5 == GRBR && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MD && var5 == GREC && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == MD && var5 == GREC && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MD && var5 == HOLL && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == MD && var5 == HOLL && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MD && var5 == IRLA && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == MD && var5 == IRLA && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MD && var5 == ISLA && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == MD && var5 == ISLA && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MD && var5 == ITAL && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == MD && var5 == ITAL && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MD && var5 == NORV && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == MD && var5 == NORV && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MD && var5 == PORT && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == MD && var5 == PORT && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MD && var5 == SUED && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == MD && var5 == SUED && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MD && var5 == SUIS && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == MD && var5 == SUIS && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MD && var5 == YOUG && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == MD && var5 == YOUG && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MD && var5 == MAGH && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == MD && var5 == TURQ && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == MD && var5 == TURQ && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MD && var5 == ARGE && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == MD && var5 == ARGE && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MD && var5 == BRES && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == MD && var5 == BRES && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MD && var5 == CHIL && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == MD && var5 == CHIL && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MD && var5 == COLO && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == MD && var5 == COLO && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MD && var5 == AFSU && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == MD && var5 == AFSU && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MD && var5 == MARO && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == MD && var5 == ISRA && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == MD && var5 == ISRA && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MD && var5 == HONG && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == MD && var5 == HONG && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MD && var5 == TCHE && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == MD && var5 == TCHE && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MD && var5 == POLO && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == MD && var5 == POLO && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MD && var5 == SLVQ && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == MD && var5 == SLVQ && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MD && var5 == JAPO && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == MD && var5 == JAPO && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MD && var5 == TAIW && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == MD && var5 == TAIW && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MD && var5 == AUST && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == MD && var5 == AUST && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MD && var5 == URUG && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == MD && var5 == URUG && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MD && var5 == DAIB && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == MD && var5 == DAIC && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == MD && var5 == DAIC && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MD && var5 == DAID && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == MD && var5 == DAID && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MD && var5 == DAIF && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == MD && var5 == DAIF && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MD && var5 == EUOR && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == MD && var5 == EUOR && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MD && var5 == CETI && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == ME && var5 == FRAN && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == ME && var5 == FRAN && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == ME && var5 == DOTO && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == ME && var5 == DOTO && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == ME && var5 == ALLE && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == ME && var5 == ALLE && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == ME && var5 == AUTR && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == ME && var5 == AUTR && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == ME && var5 == BELG && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == ME && var5 == BELG && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == ME && var5 == DANE && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == ME && var5 == DANE && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == ME && var5 == ESPA && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == ME && var5 == ESPA && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == ME && var5 == FINL && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == ME && var5 == FINL && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == ME && var5 == GRBR && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == ME && var5 == GRBR && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == ME && var5 == GREC && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == ME && var5 == GREC && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == ME && var5 == HOLL && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == ME && var5 == HOLL && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == ME && var5 == IRLA && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == ME && var5 == IRLA && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == ME && var5 == ISLA && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == ME && var5 == ISLA && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == ME && var5 == ITAL && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == ME && var5 == ITAL && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == ME && var5 == NORV && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == ME && var5 == NORV && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == ME && var5 == PORT && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == ME && var5 == PORT && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == ME && var5 == SUED && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == ME && var5 == SUED && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == ME && var5 == SUIS && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == ME && var5 == SUIS && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == ME && var5 == YOUG && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == ME && var5 == YOUG && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == ME && var5 == MAGH && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == ME && var5 == TURQ && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == ME && var5 == TURQ && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == ME && var5 == ARGE && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == ME && var5 == ARGE && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == ME && var5 == BRES && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == ME && var5 == BRES && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == ME && var5 == CHIL && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == ME && var5 == CHIL && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == ME && var5 == COLO && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == ME && var5 == COLO && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == ME && var5 == AFSU && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == ME && var5 == AFSU && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == ME && var5 == MARO && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == ME && var5 == ISRA && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == ME && var5 == ISRA && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == ME && var5 == HONG && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == ME && var5 == HONG && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == ME && var5 == TCHE && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == ME && var5 == TCHE && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == ME && var5 == POLO && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == ME && var5 == POLO && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == ME && var5 == SLVQ && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == ME && var5 == SLVQ && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == ME && var5 == JAPO && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == ME && var5 == JAPO && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == ME && var5 == TAIW && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == ME && var5 == TAIW && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == ME && var5 == AUST && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == ME && var5 == AUST && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == ME && var5 == URUG && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == ME && var5 == URUG && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == ME && var5 == DAIB && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == ME && var5 == DAIC && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == ME && var5 == DAIC && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == ME && var5 == DAID && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == ME && var5 == DAID && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == ME && var5 == DAIF && var11 == SSABS && var94 == EU96 ) ) || ( ( var2 == E3 && var3 == ME && var5 == DAIF && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == ME && var5 == EUOR && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == ME && var5 == EUOR && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == ME && var5 == CETI && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MF && var5 == FRAN && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MF && var5 == FRAN && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MF && var5 == DOTO && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MF && var5 == DOTO && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MF && var5 == ALLE && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MF && var5 == ALLE && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MF && var5 == AUTR && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MF && var5 == AUTR && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MF && var5 == BELG && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MF && var5 == BELG && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MF && var5 == DANE && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MF && var5 == DANE && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MF && var5 == ESPA && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MF && var5 == ESPA && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MF && var5 == FINL && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MF && var5 == FINL && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MF && var5 == GRBR && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MF && var5 == GRBR && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MF && var5 == GREC && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MF && var5 == GREC && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MF && var5 == HOLL && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MF && var5 == HOLL && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MF && var5 == IRLA && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MF && var5 == IRLA && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MF && var5 == ISLA && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MF && var5 == ISLA && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MF && var5 == ITAL && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MF && var5 == ITAL && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MF && var5 == NORV && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MF && var5 == NORV && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MF && var5 == PORT && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MF && var5 == PORT && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MF && var5 == SUED && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MF && var5 == SUED && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MF && var5 == SUIS && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MF && var5 == SUIS && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MF && var5 == YOUG && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MF && var5 == YOUG && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MF && var5 == MAGH && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MF && var5 == TURQ && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MF && var5 == TURQ && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MF && var5 == ARGE && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MF && var5 == ARGE && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MF && var5 == BRES && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MF && var5 == BRES && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MF && var5 == CHIL && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MF && var5 == CHIL && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MF && var5 == COLO && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MF && var5 == COLO && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MF && var5 == AFSU && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MF && var5 == AFSU && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MF && var5 == MARO && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MF && var5 == ISRA && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MF && var5 == ISRA && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MF && var5 == HONG && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MF && var5 == HONG && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MF && var5 == TCHE && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MF && var5 == TCHE && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MF && var5 == POLO && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MF && var5 == POLO && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MF && var5 == SLVQ && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MF && var5 == SLVQ && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MF && var5 == JAPO && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MF && var5 == JAPO && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MF && var5 == TAIW && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MF && var5 == TAIW && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MF && var5 == AUST && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MF && var5 == AUST && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MF && var5 == URUG && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MF && var5 == URUG && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MF && var5 == DAIB && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MF && var5 == DAIC && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MF && var5 == DAIC && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MF && var5 == DAID && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MF && var5 == DAID && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MF && var5 == DAIF && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MF && var5 == DAIF && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MF && var5 == EUOR && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MF && var5 == EUOR && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MF && var5 == CETI && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MJ && var5 == FRAN && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MJ && var5 == FRAN && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MJ && var5 == DOTO && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MJ && var5 == DOTO && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MJ && var5 == ALLE && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MJ && var5 == ALLE && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MJ && var5 == AUTR && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MJ && var5 == AUTR && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MJ && var5 == BELG && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MJ && var5 == BELG && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MJ && var5 == DANE && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MJ && var5 == DANE && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MJ && var5 == ESPA && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MJ && var5 == ESPA && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MJ && var5 == FINL && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MJ && var5 == FINL && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MJ && var5 == GRBR && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MJ && var5 == GRBR && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MJ && var5 == GREC && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MJ && var5 == GREC && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MJ && var5 == HOLL && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MJ && var5 == HOLL && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MJ && var5 == IRLA && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MJ && var5 == IRLA && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MJ && var5 == ISLA && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MJ && var5 == ISLA && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MJ && var5 == ITAL && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MJ && var5 == ITAL && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MJ && var5 == NORV && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MJ && var5 == NORV && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MJ && var5 == PORT && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MJ && var5 == PORT && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MJ && var5 == SUED && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MJ && var5 == SUED && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MJ && var5 == SUIS && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MJ && var5 == SUIS && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MJ && var5 == YOUG && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MJ && var5 == YOUG && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MJ && var5 == MAGH && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MJ && var5 == TURQ && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MJ && var5 == TURQ && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MJ && var5 == ARGE && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MJ && var5 == ARGE && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MJ && var5 == BRES && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MJ && var5 == BRES && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MJ && var5 == CHIL && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MJ && var5 == CHIL && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MJ && var5 == COLO && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MJ && var5 == COLO && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MJ && var5 == AFSU && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MJ && var5 == AFSU && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MJ && var5 == MARO && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MJ && var5 == ISRA && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MJ && var5 == ISRA && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MJ && var5 == HONG && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MJ && var5 == HONG && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MJ && var5 == TCHE && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MJ && var5 == TCHE && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MJ && var5 == POLO && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MJ && var5 == POLO && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MJ && var5 == SLVQ && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MJ && var5 == SLVQ && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MJ && var5 == JAPO && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MJ && var5 == JAPO && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MJ && var5 == TAIW && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MJ && var5 == TAIW && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MJ && var5 == AUST && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MJ && var5 == AUST && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MJ && var5 == URUG && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MJ && var5 == URUG && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MJ && var5 == DAIB && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MJ && var5 == DAIC && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MJ && var5 == DAIC && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MJ && var5 == DAID && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MJ && var5 == DAID && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MJ && var5 == DAIF && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MJ && var5 == DAIF && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MJ && var5 == EUOR && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MJ && var5 == EUOR && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MJ && var5 == CETI && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MK && var5 == FRAN && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MK && var5 == FRAN && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MK && var5 == DOTO && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MK && var5 == DOTO && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MK && var5 == ALLE && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MK && var5 == ALLE && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MK && var5 == AUTR && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MK && var5 == AUTR && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MK && var5 == BELG && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MK && var5 == BELG && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MK && var5 == DANE && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MK && var5 == DANE && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MK && var5 == ESPA && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MK && var5 == ESPA && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MK && var5 == FINL && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MK && var5 == FINL && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MK && var5 == GRBR && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MK && var5 == GRBR && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MK && var5 == GREC && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MK && var5 == GREC && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MK && var5 == HOLL && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MK && var5 == HOLL && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MK && var5 == IRLA && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MK && var5 == IRLA && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MK && var5 == ISLA && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MK && var5 == ISLA && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MK && var5 == ITAL && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MK && var5 == ITAL && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MK && var5 == NORV && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MK && var5 == NORV && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MK && var5 == PORT && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MK && var5 == PORT && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MK && var5 == SUED && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MK && var5 == SUED && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MK && var5 == SUIS && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MK && var5 == SUIS && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MK && var5 == YOUG && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MK && var5 == YOUG && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MK && var5 == MAGH && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MK && var5 == TURQ && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MK && var5 == TURQ && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MK && var5 == ARGE && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MK && var5 == ARGE && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MK && var5 == BRES && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MK && var5 == BRES && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MK && var5 == CHIL && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MK && var5 == CHIL && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MK && var5 == COLO && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MK && var5 == COLO && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MK && var5 == AFSU && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MK && var5 == AFSU && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MK && var5 == MARO && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MK && var5 == ISRA && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MK && var5 == ISRA && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MK && var5 == HONG && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MK && var5 == HONG && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MK && var5 == TCHE && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MK && var5 == TCHE && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MK && var5 == POLO && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MK && var5 == POLO && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MK && var5 == SLVQ && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MK && var5 == SLVQ && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MK && var5 == JAPO && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MK && var5 == JAPO && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MK && var5 == TAIW && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MK && var5 == TAIW && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MK && var5 == AUST && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MK && var5 == AUST && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MK && var5 == URUG && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MK && var5 == URUG && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MK && var5 == DAIB && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MK && var5 == DAIC && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MK && var5 == DAIC && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MK && var5 == DAID && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MK && var5 == DAID && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MK && var5 == DAIF && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MK && var5 == DAIF && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MK && var5 == EUOR && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MK && var5 == EUOR && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MK && var5 == CETI && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == ML && var5 == FRAN && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == ML && var5 == FRAN && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == ML && var5 == DOTO && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == ML && var5 == DOTO && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == ML && var5 == ALLE && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == ML && var5 == ALLE && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == ML && var5 == AUTR && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == ML && var5 == AUTR && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == ML && var5 == BELG && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == ML && var5 == BELG && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == ML && var5 == DANE && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == ML && var5 == DANE && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == ML && var5 == ESPA && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == ML && var5 == ESPA && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == ML && var5 == FINL && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == ML && var5 == FINL && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == ML && var5 == GRBR && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == ML && var5 == GRBR && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == ML && var5 == GREC && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == ML && var5 == GREC && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == ML && var5 == HOLL && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == ML && var5 == HOLL && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == ML && var5 == IRLA && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == ML && var5 == IRLA && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == ML && var5 == ISLA && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == ML && var5 == ISLA && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == ML && var5 == ITAL && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == ML && var5 == ITAL && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == ML && var5 == NORV && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == ML && var5 == NORV && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == ML && var5 == PORT && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == ML && var5 == PORT && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == ML && var5 == SUED && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == ML && var5 == SUED && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == ML && var5 == SUIS && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == ML && var5 == SUIS && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == ML && var5 == YOUG && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == ML && var5 == YOUG && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == ML && var5 == MAGH && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == ML && var5 == TURQ && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == ML && var5 == TURQ && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == ML && var5 == ARGE && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == ML && var5 == ARGE && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == ML && var5 == BRES && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == ML && var5 == BRES && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == ML && var5 == CHIL && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == ML && var5 == CHIL && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == ML && var5 == COLO && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == ML && var5 == COLO && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == ML && var5 == AFSU && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == ML && var5 == AFSU && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == ML && var5 == MARO && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == ML && var5 == ISRA && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == ML && var5 == ISRA && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == ML && var5 == HONG && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == ML && var5 == HONG && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == ML && var5 == TCHE && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == ML && var5 == TCHE && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == ML && var5 == POLO && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == ML && var5 == POLO && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == ML && var5 == SLVQ && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == ML && var5 == SLVQ && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == ML && var5 == JAPO && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == ML && var5 == JAPO && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == ML && var5 == TAIW && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == ML && var5 == TAIW && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == ML && var5 == AUST && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == ML && var5 == AUST && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == ML && var5 == URUG && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == ML && var5 == URUG && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == ML && var5 == DAIB && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == ML && var5 == DAIC && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == ML && var5 == DAIC && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == ML && var5 == DAID && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == ML && var5 == DAID && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == ML && var5 == DAIF && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == ML && var5 == DAIF && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == ML && var5 == EUOR && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == ML && var5 == EUOR && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == ML && var5 == CETI && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MM && var5 == FRAN && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == MM && var5 == FRAN && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MM && var5 == DOTO && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == MM && var5 == DOTO && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MM && var5 == ALLE && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == MM && var5 == ALLE && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MM && var5 == AUTR && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == MM && var5 == AUTR && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MM && var5 == BELG && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == MM && var5 == BELG && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MM && var5 == DANE && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == MM && var5 == DANE && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MM && var5 == ESPA && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == MM && var5 == ESPA && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MM && var5 == FINL && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == MM && var5 == FINL && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MM && var5 == GRBR && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == MM && var5 == GRBR && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MM && var5 == GREC && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == MM && var5 == GREC && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MM && var5 == HOLL && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == MM && var5 == HOLL && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MM && var5 == IRLA && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == MM && var5 == IRLA && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MM && var5 == ISLA && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == MM && var5 == ISLA && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MM && var5 == ITAL && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == MM && var5 == ITAL && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MM && var5 == NORV && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == MM && var5 == NORV && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MM && var5 == PORT && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == MM && var5 == PORT && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MM && var5 == SUED && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == MM && var5 == SUED && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MM && var5 == SUIS && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == MM && var5 == SUIS && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MM && var5 == YOUG && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == MM && var5 == YOUG && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MM && var5 == MAGH && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == MM && var5 == TURQ && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == MM && var5 == TURQ && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MM && var5 == ARGE && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == MM && var5 == ARGE && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MM && var5 == BRES && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == MM && var5 == BRES && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MM && var5 == CHIL && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == MM && var5 == CHIL && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MM && var5 == COLO && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == MM && var5 == COLO && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MM && var5 == AFSU && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == MM && var5 == AFSU && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MM && var5 == MARO && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == MM && var5 == ISRA && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == MM && var5 == ISRA && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MM && var5 == HONG && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == MM && var5 == HONG && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MM && var5 == TCHE && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == MM && var5 == TCHE && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MM && var5 == POLO && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == MM && var5 == POLO && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MM && var5 == SLVQ && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == MM && var5 == SLVQ && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MM && var5 == JAPO && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == MM && var5 == JAPO && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MM && var5 == TAIW && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == MM && var5 == TAIW && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MM && var5 == AUST && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == MM && var5 == AUST && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MM && var5 == URUG && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == MM && var5 == URUG && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MM && var5 == DAIB && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == MM && var5 == DAIC && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == MM && var5 == DAIC && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MM && var5 == DAID && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == MM && var5 == DAID && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MM && var5 == DAIF && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == MM && var5 == DAIF && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MM && var5 == EUOR && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == MM && var5 == EUOR && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == MM && var5 == CETI && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == MS && var5 == FRAN && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E3 && var3 == MS && var5 == DOTO && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E3 && var3 == MS && var5 == ALLE && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E3 && var3 == MS && var5 == AUTR && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E3 && var3 == MS && var5 == BELG && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E3 && var3 == MS && var5 == DANE && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E3 && var3 == MS && var5 == ESPA && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E3 && var3 == MS && var5 == FINL && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E3 && var3 == MS && var5 == GRBR && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E3 && var3 == MS && var5 == GREC && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E3 && var3 == MS && var5 == HOLL && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E3 && var3 == MS && var5 == IRLA && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E3 && var3 == MS && var5 == ISLA && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E3 && var3 == MS && var5 == ITAL && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E3 && var3 == MS && var5 == NORV && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E3 && var3 == MS && var5 == PORT && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E3 && var3 == MS && var5 == SUED && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E3 && var3 == MS && var5 == SUIS && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E3 && var3 == MS && var5 == YOUG && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E3 && var3 == MS && var5 == MAGH && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E3 && var3 == MS && var5 == TURQ && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E3 && var3 == MS && var5 == ARGE && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E3 && var3 == MS && var5 == BRES && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E3 && var3 == MS && var5 == CHIL && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E3 && var3 == MS && var5 == COLO && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E3 && var3 == MS && var5 == AFSU && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E3 && var3 == MS && var5 == MARO && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E3 && var3 == MS && var5 == ISRA && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E3 && var3 == MS && var5 == HONG && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E3 && var3 == MS && var5 == TCHE && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E3 && var3 == MS && var5 == POLO && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E3 && var3 == MS && var5 == SLVQ && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E3 && var3 == MS && var5 == JAPO && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E3 && var3 == MS && var5 == TAIW && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E3 && var3 == MS && var5 == AUST && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E3 && var3 == MS && var5 == URUG && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E3 && var3 == MS && var5 == DAIB && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E3 && var3 == MS && var5 == DAIC && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E3 && var3 == MS && var5 == DAID && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E3 && var3 == MS && var5 == DAIF && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E3 && var3 == MS && var5 == EUOR && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E3 && var3 == MS && var5 == CETI && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E3 && var3 == MT && var5 == FRAN && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MT && var5 == FRAN && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MT && var5 == DOTO && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MT && var5 == DOTO && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MT && var5 == ALLE && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MT && var5 == ALLE && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MT && var5 == AUTR && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MT && var5 == AUTR && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MT && var5 == BELG && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MT && var5 == BELG && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MT && var5 == DANE && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MT && var5 == DANE && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MT && var5 == ESPA && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MT && var5 == ESPA && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MT && var5 == FINL && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MT && var5 == FINL && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MT && var5 == GRBR && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MT && var5 == GRBR && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MT && var5 == GREC && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MT && var5 == GREC && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MT && var5 == HOLL && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MT && var5 == HOLL && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MT && var5 == IRLA && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MT && var5 == IRLA && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MT && var5 == ISLA && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MT && var5 == ISLA && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MT && var5 == ITAL && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MT && var5 == ITAL && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MT && var5 == NORV && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MT && var5 == NORV && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MT && var5 == PORT && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MT && var5 == PORT && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MT && var5 == SUED && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MT && var5 == SUED && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MT && var5 == SUIS && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MT && var5 == SUIS && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MT && var5 == YOUG && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MT && var5 == YOUG && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MT && var5 == MAGH && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MT && var5 == TURQ && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MT && var5 == TURQ && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MT && var5 == ARGE && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MT && var5 == ARGE && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MT && var5 == BRES && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MT && var5 == BRES && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MT && var5 == CHIL && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MT && var5 == CHIL && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MT && var5 == COLO && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MT && var5 == COLO && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MT && var5 == AFSU && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MT && var5 == AFSU && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MT && var5 == MARO && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MT && var5 == ISRA && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MT && var5 == ISRA && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MT && var5 == HONG && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MT && var5 == HONG && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MT && var5 == TCHE && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MT && var5 == TCHE && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MT && var5 == POLO && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MT && var5 == POLO && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MT && var5 == SLVQ && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MT && var5 == SLVQ && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MT && var5 == JAPO && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MT && var5 == JAPO && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MT && var5 == TAIW && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MT && var5 == TAIW && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MT && var5 == AUST && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MT && var5 == AUST && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MT && var5 == URUG && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MT && var5 == URUG && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MT && var5 == DAIB && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MT && var5 == DAIC && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MT && var5 == DAIC && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MT && var5 == DAID && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MT && var5 == DAID && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MT && var5 == DAIF && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MT && var5 == DAIF && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MT && var5 == EUOR && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MT && var5 == EUOR && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MT && var5 == CETI && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MU && var5 == FRAN && var11 == SSABS && var94 == EU93 ) || ( var2 == E3 && var3 == MU && var5 == DOTO && var11 == SSABS && var94 == EU93 ) || ( var2 == E3 && var3 == MU && var5 == ALLE && var11 == SSABS && var94 == EU93 ) || ( var2 == E3 && var3 == MU && var5 == AUTR && var11 == SSABS && var94 == EU93 ) || ( var2 == E3 && var3 == MU && var5 == BELG && var11 == SSABS && var94 == EU93 ) || ( var2 == E3 && var3 == MU && var5 == DANE && var11 == SSABS && var94 == EU93 ) || ( var2 == E3 && var3 == MU && var5 == ESPA && var11 == SSABS && var94 == EU93 ) || ( var2 == E3 && var3 == MU && var5 == FINL && var11 == SSABS && var94 == EU93 ) || ( var2 == E3 && var3 == MU && var5 == GRBR && var11 == SSABS && var94 == EU93 ) || ( var2 == E3 && var3 == MU && var5 == GREC && var11 == SSABS && var94 == EU93 ) || ( var2 == E3 && var3 == MU && var5 == HOLL && var11 == SSABS && var94 == EU93 ) || ( var2 == E3 && var3 == MU && var5 == IRLA && var11 == SSABS && var94 == EU93 ) || ( var2 == E3 && var3 == MU && var5 == ISLA && var11 == SSABS && var94 == EU93 ) || ( var2 == E3 && var3 == MU && var5 == ITAL && var11 == SSABS && var94 == EU93 ) || ( var2 == E3 && var3 == MU && var5 == NORV && var11 == SSABS && var94 == EU93 ) || ( var2 == E3 && var3 == MU && var5 == PORT && var11 == SSABS && var94 == EU93 ) || ( var2 == E3 && var3 == MU && var5 == SUED && var11 == SSABS && var94 == EU93 ) || ( var2 == E3 && var3 == MU && var5 == SUIS && var11 == SSABS && var94 == EU93 ) || ( var2 == E3 && var3 == MU && var5 == YOUG && var11 == SSABS && var94 == EU93 ) || ( var2 == E3 && var3 == MU && var5 == MAGH && var11 == SSABS && var94 == EU93 ) || ( var2 == E3 && var3 == MU && var5 == TURQ && var11 == SSABS && var94 == EU93 ) || ( var2 == E3 && var3 == MU && var5 == ARGE && var11 == SSABS && var94 == EU93 ) || ( var2 == E3 && var3 == MU && var5 == BRES && var11 == SSABS && var94 == EU93 ) || ( var2 == E3 && var3 == MU && var5 == CHIL && var11 == SSABS && var94 == EU93 ) || ( var2 == E3 && var3 == MU && var5 == COLO && var11 == SSABS && var94 == EU93 ) || ( var2 == E3 && var3 == MU && var5 == AFSU && var11 == SSABS && var94 == EU93 ) || ( var2 == E3 && var3 == MU && var5 == MARO && var11 == SSABS && var94 == EU93 ) || ( var2 == E3 && var3 == MU && var5 == ISRA && var11 == SSABS && var94 == EU93 ) || ( var2 == E3 && var3 == MU && var5 == HONG && var11 == SSABS && var94 == EU93 ) || ( var2 == E3 && var3 == MU && var5 == TCHE && var11 == SSABS && var94 == EU93 ) || ( var2 == E3 && var3 == MU && var5 == POLO && var11 == SSABS && var94 == EU93 ) || ( var2 == E3 && var3 == MU && var5 == SLVQ && var11 == SSABS && var94 == EU93 ) || ( var2 == E3 && var3 == MU && var5 == JAPO && var11 == SSABS && var94 == EU93 ) || ( var2 == E3 && var3 == MU && var5 == TAIW && var11 == SSABS && var94 == EU93 ) || ( var2 == E3 && var3 == MU && var5 == AUST && var11 == SSABS && var94 == EU93 ) || ( var2 == E3 && var3 == MU && var5 == URUG && var11 == SSABS && var94 == EU93 ) || ( var2 == E3 && var3 == MU && var5 == DAIB && var11 == SSABS && var94 == EU93 ) || ( var2 == E3 && var3 == MU && var5 == DAIC && var11 == SSABS && var94 == EU93 ) || ( var2 == E3 && var3 == MU && var5 == DAID && var11 == SSABS && var94 == EU93 ) || ( var2 == E3 && var3 == MU && var5 == DAIF && var11 == SSABS && var94 == EU93 ) || ( var2 == E3 && var3 == MU && var5 == EUOR && var11 == SSABS && var94 == EU93 ) || ( var2 == E3 && var3 == MU && var5 == CETI && var11 == SSABS && var94 == EU93 ) || ( var2 == E3 && var3 == MN && var5 == FRAN && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MN && var5 == FRAN && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MN && var5 == DOTO && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MN && var5 == DOTO && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MN && var5 == ALLE && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MN && var5 == ALLE && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MN && var5 == AUTR && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MN && var5 == AUTR && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MN && var5 == BELG && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MN && var5 == BELG && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MN && var5 == DANE && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MN && var5 == DANE && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MN && var5 == ESPA && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MN && var5 == ESPA && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MN && var5 == FINL && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MN && var5 == FINL && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MN && var5 == GRBR && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MN && var5 == GRBR && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MN && var5 == GREC && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MN && var5 == GREC && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MN && var5 == HOLL && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MN && var5 == HOLL && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MN && var5 == IRLA && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MN && var5 == IRLA && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MN && var5 == ISLA && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MN && var5 == ISLA && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MN && var5 == ITAL && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MN && var5 == ITAL && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MN && var5 == NORV && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MN && var5 == NORV && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MN && var5 == PORT && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MN && var5 == PORT && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MN && var5 == SUED && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MN && var5 == SUED && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MN && var5 == SUIS && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MN && var5 == SUIS && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MN && var5 == YOUG && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MN && var5 == YOUG && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MN && var5 == MAGH && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MN && var5 == TURQ && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MN && var5 == TURQ && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MN && var5 == ARGE && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MN && var5 == ARGE && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MN && var5 == BRES && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MN && var5 == BRES && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MN && var5 == CHIL && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MN && var5 == CHIL && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MN && var5 == COLO && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MN && var5 == COLO && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MN && var5 == AFSU && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MN && var5 == AFSU && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MN && var5 == MARO && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MN && var5 == ISRA && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MN && var5 == ISRA && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MN && var5 == HONG && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MN && var5 == HONG && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MN && var5 == TCHE && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MN && var5 == TCHE && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MN && var5 == POLO && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MN && var5 == POLO && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MN && var5 == SLVQ && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MN && var5 == SLVQ && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MN && var5 == JAPO && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MN && var5 == JAPO && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MN && var5 == TAIW && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MN && var5 == TAIW && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MN && var5 == AUST && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MN && var5 == AUST && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MN && var5 == URUG && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MN && var5 == URUG && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MN && var5 == DAIB && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MN && var5 == DAIC && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MN && var5 == DAIC && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MN && var5 == DAID && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MN && var5 == DAID && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MN && var5 == DAIF && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MN && var5 == DAIF && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MN && var5 == EUOR && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MN && var5 == EUOR && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MN && var5 == CETI && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MH && var5 == FRAN && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MH && var5 == DOTO && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MH && var5 == ALLE && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MH && var5 == AUTR && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MH && var5 == BELG && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MH && var5 == DANE && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MH && var5 == ESPA && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MH && var5 == FINL && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MH && var5 == GRBR && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MH && var5 == GREC && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MH && var5 == HOLL && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MH && var5 == IRLA && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MH && var5 == ISLA && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MH && var5 == ITAL && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MH && var5 == NORV && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MH && var5 == PORT && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MH && var5 == SUED && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MH && var5 == SUIS && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MH && var5 == YOUG && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MH && var5 == MAGH && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MH && var5 == TURQ && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MH && var5 == ARGE && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MH && var5 == BRES && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MH && var5 == CHIL && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MH && var5 == COLO && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MH && var5 == AFSU && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MH && var5 == MARO && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MH && var5 == ISRA && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MH && var5 == HONG && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MH && var5 == TCHE && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MH && var5 == POLO && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MH && var5 == SLVQ && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MH && var5 == JAPO && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MH && var5 == TAIW && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MH && var5 == AUST && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MH && var5 == URUG && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MH && var5 == DAIB && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MH && var5 == DAIC && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MH && var5 == DAID && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MH && var5 == DAIF && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MH && var5 == EUOR && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MH && var5 == CETI && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MG && var5 == FRAN && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MG && var5 == FRAN && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MG && var5 == DOTO && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MG && var5 == DOTO && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MG && var5 == ALLE && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MG && var5 == ALLE && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MG && var5 == AUTR && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MG && var5 == AUTR && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MG && var5 == BELG && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MG && var5 == BELG && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MG && var5 == DANE && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MG && var5 == DANE && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MG && var5 == ESPA && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MG && var5 == ESPA && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MG && var5 == FINL && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MG && var5 == FINL && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MG && var5 == GRBR && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MG && var5 == GRBR && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MG && var5 == GREC && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MG && var5 == GREC && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MG && var5 == HOLL && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MG && var5 == HOLL && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MG && var5 == IRLA && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MG && var5 == IRLA && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MG && var5 == ISLA && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MG && var5 == ISLA && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MG && var5 == ITAL && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MG && var5 == ITAL && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MG && var5 == NORV && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MG && var5 == NORV && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MG && var5 == PORT && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MG && var5 == PORT && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MG && var5 == SUED && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MG && var5 == SUED && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MG && var5 == SUIS && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MG && var5 == SUIS && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MG && var5 == YOUG && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MG && var5 == YOUG && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MG && var5 == MAGH && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MG && var5 == TURQ && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MG && var5 == TURQ && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MG && var5 == ARGE && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MG && var5 == ARGE && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MG && var5 == BRES && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MG && var5 == BRES && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MG && var5 == CHIL && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MG && var5 == CHIL && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MG && var5 == COLO && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MG && var5 == COLO && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MG && var5 == AFSU && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MG && var5 == AFSU && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MG && var5 == MARO && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MG && var5 == ISRA && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MG && var5 == ISRA && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MG && var5 == HONG && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MG && var5 == HONG && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MG && var5 == TCHE && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MG && var5 == TCHE && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MG && var5 == POLO && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MG && var5 == POLO && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MG && var5 == SLVQ && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MG && var5 == SLVQ && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MG && var5 == JAPO && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MG && var5 == JAPO && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MG && var5 == TAIW && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MG && var5 == TAIW && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MG && var5 == AUST && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MG && var5 == AUST && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MG && var5 == URUG && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MG && var5 == URUG && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MG && var5 == DAIB && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MG && var5 == DAIC && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MG && var5 == DAIC && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MG && var5 == DAID && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MG && var5 == DAID && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MG && var5 == DAIF && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MG && var5 == DAIF && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MG && var5 == EUOR && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MG && var5 == EUOR && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MG && var5 == CETI && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MY && var5 == FRAN && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MY && var5 == FRAN && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MY && var5 == DOTO && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MY && var5 == DOTO && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MY && var5 == ALLE && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MY && var5 == ALLE && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MY && var5 == AUTR && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MY && var5 == AUTR && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MY && var5 == BELG && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MY && var5 == BELG && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MY && var5 == DANE && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MY && var5 == DANE && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MY && var5 == ESPA && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MY && var5 == ESPA && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MY && var5 == FINL && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MY && var5 == FINL && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MY && var5 == GRBR && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MY && var5 == GRBR && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MY && var5 == GREC && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MY && var5 == GREC && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MY && var5 == HOLL && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MY && var5 == HOLL && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MY && var5 == IRLA && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MY && var5 == IRLA && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MY && var5 == ISLA && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MY && var5 == ISLA && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MY && var5 == ITAL && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MY && var5 == ITAL && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MY && var5 == NORV && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MY && var5 == NORV && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MY && var5 == PORT && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MY && var5 == PORT && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MY && var5 == SUED && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MY && var5 == SUED && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MY && var5 == SUIS && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MY && var5 == SUIS && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MY && var5 == YOUG && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MY && var5 == YOUG && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MY && var5 == MAGH && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MY && var5 == TURQ && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MY && var5 == TURQ && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MY && var5 == ARGE && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MY && var5 == ARGE && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MY && var5 == BRES && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MY && var5 == BRES && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MY && var5 == CHIL && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MY && var5 == CHIL && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MY && var5 == COLO && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MY && var5 == COLO && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MY && var5 == AFSU && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MY && var5 == AFSU && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MY && var5 == MARO && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MY && var5 == ISRA && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MY && var5 == ISRA && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MY && var5 == HONG && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MY && var5 == HONG && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MY && var5 == TCHE && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MY && var5 == TCHE && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MY && var5 == POLO && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MY && var5 == POLO && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MY && var5 == SLVQ && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MY && var5 == SLVQ && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MY && var5 == JAPO && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MY && var5 == JAPO && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MY && var5 == TAIW && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MY && var5 == TAIW && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MY && var5 == AUST && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MY && var5 == AUST && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MY && var5 == URUG && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MY && var5 == URUG && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MY && var5 == DAIB && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MY && var5 == DAIC && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MY && var5 == DAIC && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MY && var5 == DAID && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MY && var5 == DAID && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MY && var5 == DAIF && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MY && var5 == DAIF && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MY && var5 == EUOR && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == MY && var5 == EUOR && var11 == ABS && var94 == EU96 ) || ( var2 == E3 && var3 == MY && var5 == CETI && var11 == SSABS && var94 == EU96 ) || ( var2 == E3 && var3 == NM2K && var5 == FRAN && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM2K && var5 == FRAN && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM2K && var5 == DOTO && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM2K && var5 == DOTO && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM2K && var5 == ALLE && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM2K && var5 == ALLE && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM2K && var5 == AUTR && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM2K && var5 == AUTR && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM2K && var5 == BELG && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM2K && var5 == BELG && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM2K && var5 == DANE && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM2K && var5 == DANE && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM2K && var5 == ESPA && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM2K && var5 == ESPA && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM2K && var5 == FINL && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM2K && var5 == FINL && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM2K && var5 == GRBR && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM2K && var5 == GRBR && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM2K && var5 == GREC && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM2K && var5 == GREC && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM2K && var5 == HOLL && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM2K && var5 == HOLL && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM2K && var5 == IRLA && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM2K && var5 == IRLA && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM2K && var5 == ISLA && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM2K && var5 == ISLA && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM2K && var5 == ITAL && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM2K && var5 == ITAL && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM2K && var5 == NORV && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM2K && var5 == NORV && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM2K && var5 == PORT && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM2K && var5 == PORT && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM2K && var5 == SUED && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM2K && var5 == SUED && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM2K && var5 == SUIS && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM2K && var5 == SUIS && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM2K && var5 == YOUG && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM2K && var5 == YOUG && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM2K && var5 == MAGH && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM2K && var5 == TURQ && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM2K && var5 == TURQ && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM2K && var5 == ARGE && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM2K && var5 == ARGE && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM2K && var5 == BRES && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM2K && var5 == BRES && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM2K && var5 == CHIL && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM2K && var5 == CHIL && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM2K && var5 == COLO && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM2K && var5 == COLO && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM2K && var5 == AFSU && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM2K && var5 == AFSU && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM2K && var5 == MARO && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM2K && var5 == ISRA && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM2K && var5 == ISRA && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM2K && var5 == HONG && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM2K && var5 == HONG && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM2K && var5 == TCHE && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM2K && var5 == TCHE && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM2K && var5 == POLO && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM2K && var5 == POLO && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM2K && var5 == SLVQ && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM2K && var5 == SLVQ && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM2K && var5 == JAPO && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM2K && var5 == JAPO && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM2K && var5 == TAIW && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM2K && var5 == TAIW && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM2K && var5 == AUST && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM2K && var5 == AUST && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM2K && var5 == URUG && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM2K && var5 == URUG && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM2K && var5 == DAIB && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM2K && var5 == DAIC && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM2K && var5 == DAIC && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM2K && var5 == DAID && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM2K && var5 == DAID && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM2K && var5 == DAIF && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM2K && var5 == DAIF && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM2K && var5 == EUOR && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM2K && var5 == EUOR && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM2K && var5 == CETI && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM0C && var5 == FRAN && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM0C && var5 == FRAN && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM0C && var5 == DOTO && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM0C && var5 == DOTO && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM0C && var5 == ALLE && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM0C && var5 == ALLE && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM0C && var5 == AUTR && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM0C && var5 == AUTR && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM0C && var5 == BELG && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM0C && var5 == BELG && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM0C && var5 == DANE && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM0C && var5 == DANE && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM0C && var5 == ESPA && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM0C && var5 == ESPA && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM0C && var5 == FINL && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM0C && var5 == FINL && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM0C && var5 == GRBR && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM0C && var5 == GRBR && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM0C && var5 == GREC && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM0C && var5 == GREC && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM0C && var5 == HOLL && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM0C && var5 == HOLL && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM0C && var5 == IRLA && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM0C && var5 == IRLA && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM0C && var5 == ISLA && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM0C && var5 == ISLA && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM0C && var5 == ITAL && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM0C && var5 == ITAL && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM0C && var5 == NORV && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM0C && var5 == NORV && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM0C && var5 == PORT && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM0C && var5 == PORT && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM0C && var5 == SUED && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM0C && var5 == SUED && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM0C && var5 == SUIS && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM0C && var5 == SUIS && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM0C && var5 == YOUG && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM0C && var5 == YOUG && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM0C && var5 == MAGH && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM0C && var5 == TURQ && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM0C && var5 == TURQ && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM0C && var5 == ARGE && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM0C && var5 == ARGE && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM0C && var5 == BRES && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM0C && var5 == BRES && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM0C && var5 == CHIL && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM0C && var5 == CHIL && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM0C && var5 == COLO && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM0C && var5 == COLO && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM0C && var5 == AFSU && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM0C && var5 == AFSU && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM0C && var5 == MARO && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM0C && var5 == ISRA && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM0C && var5 == ISRA && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM0C && var5 == HONG && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM0C && var5 == HONG && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM0C && var5 == TCHE && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM0C && var5 == TCHE && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM0C && var5 == POLO && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM0C && var5 == POLO && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM0C && var5 == SLVQ && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM0C && var5 == SLVQ && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM0C && var5 == JAPO && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM0C && var5 == JAPO && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM0C && var5 == TAIW && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM0C && var5 == TAIW && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM0C && var5 == AUST && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM0C && var5 == AUST && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM0C && var5 == URUG && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM0C && var5 == URUG && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM0C && var5 == DAIB && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM0C && var5 == DAIC && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM0C && var5 == DAIC && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM0C && var5 == DAID && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM0C && var5 == DAID && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM0C && var5 == DAIF && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM0C && var5 == DAIF && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM0C && var5 == EUOR && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM0C && var5 == EUOR && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == NM0C && var5 == CETI && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == ND1G && var5 == FRAN && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == ND1G && var5 == FRAN && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == ND1G && var5 == DOTO && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == ND1G && var5 == DOTO && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == ND1G && var5 == ALLE && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == ND1G && var5 == ALLE && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == ND1G && var5 == AUTR && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == ND1G && var5 == AUTR && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == ND1G && var5 == BELG && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == ND1G && var5 == BELG && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == ND1G && var5 == DANE && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == ND1G && var5 == DANE && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == ND1G && var5 == ESPA && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == ND1G && var5 == ESPA && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == ND1G && var5 == FINL && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == ND1G && var5 == FINL && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == ND1G && var5 == GRBR && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == ND1G && var5 == GRBR && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == ND1G && var5 == GREC && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == ND1G && var5 == GREC && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == ND1G && var5 == HOLL && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == ND1G && var5 == HOLL && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == ND1G && var5 == IRLA && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == ND1G && var5 == IRLA && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == ND1G && var5 == ISLA && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == ND1G && var5 == ISLA && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == ND1G && var5 == ITAL && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == ND1G && var5 == ITAL && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == ND1G && var5 == NORV && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == ND1G && var5 == NORV && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == ND1G && var5 == PORT && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == ND1G && var5 == PORT && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == ND1G && var5 == SUED && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == ND1G && var5 == SUED && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == ND1G && var5 == SUIS && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == ND1G && var5 == SUIS && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == ND1G && var5 == YOUG && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == ND1G && var5 == YOUG && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == ND1G && var5 == MAGH && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == ND1G && var5 == TURQ && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == ND1G && var5 == TURQ && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == ND1G && var5 == ARGE && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == ND1G && var5 == ARGE && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == ND1G && var5 == BRES && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == ND1G && var5 == BRES && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == ND1G && var5 == CHIL && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == ND1G && var5 == CHIL && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == ND1G && var5 == COLO && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == ND1G && var5 == COLO && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == ND1G && var5 == AFSU && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == ND1G && var5 == AFSU && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == ND1G && var5 == MARO && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == ND1G && var5 == ISRA && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == ND1G && var5 == ISRA && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == ND1G && var5 == HONG && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == ND1G && var5 == HONG && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == ND1G && var5 == TCHE && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == ND1G && var5 == TCHE && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == ND1G && var5 == POLO && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == ND1G && var5 == POLO && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == ND1G && var5 == SLVQ && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == ND1G && var5 == SLVQ && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == ND1G && var5 == JAPO && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == ND1G && var5 == JAPO && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == ND1G && var5 == TAIW && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == ND1G && var5 == TAIW && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == ND1G && var5 == AUST && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == ND1G && var5 == AUST && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == ND1G && var5 == URUG && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == ND1G && var5 == URUG && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == ND1G && var5 == DAIB && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == ND1G && var5 == DAIC && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == ND1G && var5 == DAIC && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == ND1G && var5 == DAID && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == ND1G && var5 == DAID && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == ND1G && var5 == DAIF && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == ND1G && var5 == DAIF && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == ND1G && var5 == EUOR && var11 == SSABS && var94 == EU00 ) || ( var2 == E3 && var3 == ND1G && var5 == EUOR && var11 == ABS && var94 == EU00 ) || ( var2 == E3 && var3 == ND1G && var5 == CETI && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == M5 && var5 == FRAN && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == M5 && var5 == FRAN && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == M5 && var5 == DOTO && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == M5 && var5 == DOTO && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == M5 && var5 == ALLE && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == M5 && var5 == ALLE && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == M5 && var5 == AUTR && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == M5 && var5 == AUTR && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == M5 && var5 == BELG && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == M5 && var5 == BELG && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == M5 && var5 == DANE && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == M5 && var5 == DANE && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == M5 && var5 == ESPA && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == M5 && var5 == ESPA && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == M5 && var5 == FINL && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == M5 && var5 == FINL && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == M5 && var5 == GRBR && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == M5 && var5 == GRBR && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == M5 && var5 == GREC && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == M5 && var5 == GREC && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == M5 && var5 == HOLL && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == M5 && var5 == HOLL && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == M5 && var5 == IRLA && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == M5 && var5 == IRLA && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == M5 && var5 == ISLA && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == M5 && var5 == ISLA && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == M5 && var5 == ITAL && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == M5 && var5 == ITAL && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == M5 && var5 == NORV && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == M5 && var5 == NORV && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == M5 && var5 == PORT && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == M5 && var5 == PORT && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == M5 && var5 == SUED && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == M5 && var5 == SUED && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == M5 && var5 == SUIS && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == M5 && var5 == SUIS && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == M5 && var5 == YOUG && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == M5 && var5 == YOUG && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == M5 && var5 == MAGH && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == M5 && var5 == TURQ && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == M5 && var5 == TURQ && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == M5 && var5 == ARGE && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == M5 && var5 == ARGE && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == M5 && var5 == BRES && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == M5 && var5 == BRES && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == M5 && var5 == CHIL && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == M5 && var5 == CHIL && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == M5 && var5 == COLO && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == M5 && var5 == COLO && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == M5 && var5 == AFSU && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == M5 && var5 == AFSU && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == M5 && var5 == MARO && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == M5 && var5 == ISRA && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == M5 && var5 == ISRA && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == M5 && var5 == HONG && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == M5 && var5 == HONG && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == M5 && var5 == TCHE && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == M5 && var5 == TCHE && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == M5 && var5 == POLO && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == M5 && var5 == POLO && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == M5 && var5 == SLVQ && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == M5 && var5 == SLVQ && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == M5 && var5 == JAPO && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == M5 && var5 == JAPO && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == M5 && var5 == TAIW && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == M5 && var5 == TAIW && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == M5 && var5 == AUST && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == M5 && var5 == AUST && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == M5 && var5 == URUG && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == M5 && var5 == URUG && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == M5 && var5 == DAIB && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == M5 && var5 == DAIC && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == M5 && var5 == DAIC && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == M5 && var5 == DAID && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == M5 && var5 == DAID && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == M5 && var5 == DAIF && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == M5 && var5 == DAIF && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == M5 && var5 == EUOR && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == M5 && var5 == EUOR && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == M5 && var5 == CETI && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == M6 && var5 == FRAN && var11 == SSABS && var94 == EU93 ) || ( var2 == E5 && var3 == M6 && var5 == DOTO && var11 == SSABS && var94 == EU93 ) || ( var2 == E5 && var3 == M6 && var5 == ALLE && var11 == SSABS && var94 == EU93 ) || ( var2 == E5 && var3 == M6 && var5 == AUTR && var11 == SSABS && var94 == EU93 ) || ( var2 == E5 && var3 == M6 && var5 == BELG && var11 == SSABS && var94 == EU93 ) || ( var2 == E5 && var3 == M6 && var5 == DANE && var11 == SSABS && var94 == EU93 ) || ( var2 == E5 && var3 == M6 && var5 == ESPA && var11 == SSABS && var94 == EU93 ) || ( var2 == E5 && var3 == M6 && var5 == FINL && var11 == SSABS && var94 == EU93 ) || ( var2 == E5 && var3 == M6 && var5 == GRBR && var11 == SSABS && var94 == EU93 ) || ( var2 == E5 && var3 == M6 && var5 == GREC && var11 == SSABS && var94 == EU93 ) || ( var2 == E5 && var3 == M6 && var5 == HOLL && var11 == SSABS && var94 == EU93 ) || ( var2 == E5 && var3 == M6 && var5 == IRLA && var11 == SSABS && var94 == EU93 ) || ( var2 == E5 && var3 == M6 && var5 == ISLA && var11 == SSABS && var94 == EU93 ) || ( var2 == E5 && var3 == M6 && var5 == ITAL && var11 == SSABS && var94 == EU93 ) || ( var2 == E5 && var3 == M6 && var5 == NORV && var11 == SSABS && var94 == EU93 ) || ( var2 == E5 && var3 == M6 && var5 == PORT && var11 == SSABS && var94 == EU93 ) || ( var2 == E5 && var3 == M6 && var5 == SUED && var11 == SSABS && var94 == EU93 ) || ( var2 == E5 && var3 == M6 && var5 == SUIS && var11 == SSABS && var94 == EU93 ) || ( var2 == E5 && var3 == M6 && var5 == YOUG && var11 == SSABS && var94 == EU93 ) || ( var2 == E5 && var3 == M6 && var5 == MAGH && var11 == SSABS && var94 == EU93 ) || ( var2 == E5 && var3 == M6 && var5 == TURQ && var11 == SSABS && var94 == EU93 ) || ( var2 == E5 && var3 == M6 && var5 == ARGE && var11 == SSABS && var94 == EU93 ) || ( var2 == E5 && var3 == M6 && var5 == BRES && var11 == SSABS && var94 == EU93 ) || ( var2 == E5 && var3 == M6 && var5 == CHIL && var11 == SSABS && var94 == EU93 ) || ( var2 == E5 && var3 == M6 && var5 == COLO && var11 == SSABS && var94 == EU93 ) || ( var2 == E5 && var3 == M6 && var5 == AFSU && var11 == SSABS && var94 == EU93 ) || ( var2 == E5 && var3 == M6 && var5 == MARO && var11 == SSABS && var94 == EU93 ) || ( var2 == E5 && var3 == M6 && var5 == ISRA && var11 == SSABS && var94 == EU93 ) || ( var2 == E5 && var3 == M6 && var5 == HONG && var11 == SSABS && var94 == EU93 ) || ( var2 == E5 && var3 == M6 && var5 == TCHE && var11 == SSABS && var94 == EU93 ) || ( var2 == E5 && var3 == M6 && var5 == POLO && var11 == SSABS && var94 == EU93 ) || ( var2 == E5 && var3 == M6 && var5 == SLVQ && var11 == SSABS && var94 == EU93 ) || ( var2 == E5 && var3 == M6 && var5 == JAPO && var11 == SSABS && var94 == EU93 ) || ( var2 == E5 && var3 == M6 && var5 == TAIW && var11 == SSABS && var94 == EU93 ) || ( var2 == E5 && var3 == M6 && var5 == AUST && var11 == SSABS && var94 == EU93 ) || ( var2 == E5 && var3 == M6 && var5 == URUG && var11 == SSABS && var94 == EU93 ) || ( var2 == E5 && var3 == M6 && var5 == DAIB && var11 == SSABS && var94 == EU93 ) || ( var2 == E5 && var3 == M6 && var5 == DAIC && var11 == SSABS && var94 == EU93 ) || ( var2 == E5 && var3 == M6 && var5 == DAID && var11 == SSABS && var94 == EU93 ) || ( var2 == E5 && var3 == M6 && var5 == DAIF && var11 == SSABS && var94 == EU93 ) || ( var2 == E5 && var3 == M6 && var5 == EUOR && var11 == SSABS && var94 == EU93 ) || ( var2 == E5 && var3 == M6 && var5 == CETI && var11 == SSABS && var94 == EU93 ) || ( var2 == E5 && var3 == M7 && var5 == FRAN && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E5 && var3 == M7 && var5 == DOTO && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E5 && var3 == M7 && var5 == ALLE && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E5 && var3 == M7 && var5 == AUTR && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E5 && var3 == M7 && var5 == BELG && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E5 && var3 == M7 && var5 == DANE && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E5 && var3 == M7 && var5 == ESPA && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E5 && var3 == M7 && var5 == FINL && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E5 && var3 == M7 && var5 == GRBR && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E5 && var3 == M7 && var5 == GREC && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E5 && var3 == M7 && var5 == HOLL && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E5 && var3 == M7 && var5 == IRLA && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E5 && var3 == M7 && var5 == ISLA && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E5 && var3 == M7 && var5 == ITAL && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E5 && var3 == M7 && var5 == NORV && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E5 && var3 == M7 && var5 == PORT && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E5 && var3 == M7 && var5 == SUED && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E5 && var3 == M7 && var5 == SUIS && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E5 && var3 == M7 && var5 == YOUG && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E5 && var3 == M7 && var5 == MAGH && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E5 && var3 == M7 && var5 == TURQ && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E5 && var3 == M7 && var5 == ARGE && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E5 && var3 == M7 && var5 == BRES && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E5 && var3 == M7 && var5 == CHIL && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E5 && var3 == M7 && var5 == COLO && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E5 && var3 == M7 && var5 == AFSU && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E5 && var3 == M7 && var5 == MARO && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E5 && var3 == M7 && var5 == ISRA && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E5 && var3 == M7 && var5 == HONG && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E5 && var3 == M7 && var5 == TCHE && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E5 && var3 == M7 && var5 == POLO && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E5 && var3 == M7 && var5 == SLVQ && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E5 && var3 == M7 && var5 == JAPO && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E5 && var3 == M7 && var5 == TAIW && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E5 && var3 == M7 && var5 == AUST && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E5 && var3 == M7 && var5 == URUG && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E5 && var3 == M7 && var5 == DAIB && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E5 && var3 == M7 && var5 == DAIC && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E5 && var3 == M7 && var5 == DAID && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E5 && var3 == M7 && var5 == DAIF && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E5 && var3 == M7 && var5 == EUOR && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E5 && var3 == M7 && var5 == CETI && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E5 && var3 == M8 && var5 == FRAN && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == M8 && var5 == DOTO && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == M8 && var5 == ALLE && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == M8 && var5 == AUTR && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == M8 && var5 == BELG && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == M8 && var5 == DANE && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == M8 && var5 == ESPA && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == M8 && var5 == FINL && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == M8 && var5 == GRBR && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == M8 && var5 == GREC && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == M8 && var5 == HOLL && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == M8 && var5 == IRLA && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == M8 && var5 == ISLA && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == M8 && var5 == ITAL && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == M8 && var5 == NORV && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == M8 && var5 == PORT && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == M8 && var5 == SUED && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == M8 && var5 == SUIS && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == M8 && var5 == YOUG && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == M8 && var5 == MAGH && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == M8 && var5 == TURQ && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == M8 && var5 == ARGE && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == M8 && var5 == BRES && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == M8 && var5 == CHIL && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == M8 && var5 == COLO && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == M8 && var5 == AFSU && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == M8 && var5 == MARO && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == M8 && var5 == ISRA && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == M8 && var5 == HONG && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == M8 && var5 == TCHE && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == M8 && var5 == POLO && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == M8 && var5 == SLVQ && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == M8 && var5 == JAPO && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == M8 && var5 == TAIW && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == M8 && var5 == AUST && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == M8 && var5 == URUG && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == M8 && var5 == DAIB && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == M8 && var5 == DAIC && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == M8 && var5 == DAID && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == M8 && var5 == DAIF && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == M8 && var5 == EUOR && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == M8 && var5 == CETI && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == M9 && var5 == FRAN && var11 == SSABS && var94 == EU93 ) || ( var2 == E5 && var3 == M9 && var5 == FRAN && var11 == ABS && var94 == EU93 ) || ( var2 == E5 && var3 == M9 && var5 == DOTO && var11 == SSABS && var94 == EU93 ) || ( var2 == E5 && var3 == M9 && var5 == DOTO && var11 == ABS && var94 == EU93 ) || ( var2 == E5 && var3 == M9 && var5 == ALLE && var11 == SSABS && var94 == EU93 ) || ( var2 == E5 && var3 == M9 && var5 == ALLE && var11 == ABS && var94 == EU93 ) || ( var2 == E5 && var3 == M9 && var5 == AUTR && var11 == SSABS && var94 == EU93 ) || ( var2 == E5 && var3 == M9 && var5 == AUTR && var11 == ABS && var94 == EU93 ) || ( var2 == E5 && var3 == M9 && var5 == BELG && var11 == SSABS && var94 == EU93 ) || ( var2 == E5 && var3 == M9 && var5 == BELG && var11 == ABS && var94 == EU93 ) || ( var2 == E5 && var3 == M9 && var5 == DANE && var11 == SSABS && var94 == EU93 ) || ( var2 == E5 && var3 == M9 && var5 == DANE && var11 == ABS && var94 == EU93 ) || ( var2 == E5 && var3 == M9 && var5 == ESPA && var11 == SSABS && var94 == EU93 ) || ( var2 == E5 && var3 == M9 && var5 == ESPA && var11 == ABS && var94 == EU93 ) || ( var2 == E5 && var3 == M9 && var5 == FINL && var11 == SSABS && var94 == EU93 ) || ( var2 == E5 && var3 == M9 && var5 == FINL && var11 == ABS && var94 == EU93 ) || ( var2 == E5 && var3 == M9 && var5 == GRBR && var11 == SSABS && var94 == EU93 ) || ( var2 == E5 && var3 == M9 && var5 == GRBR && var11 == ABS && var94 == EU93 ) || ( var2 == E5 && var3 == M9 && var5 == GREC && var11 == SSABS && var94 == EU93 ) || ( var2 == E5 && var3 == M9 && var5 == GREC && var11 == ABS && var94 == EU93 ) || ( var2 == E5 && var3 == M9 && var5 == HOLL && var11 == SSABS && var94 == EU93 ) || ( var2 == E5 && var3 == M9 && var5 == HOLL && var11 == ABS && var94 == EU93 ) || ( var2 == E5 && var3 == M9 && var5 == IRLA && var11 == SSABS && var94 == EU93 ) || ( var2 == E5 && var3 == M9 && var5 == IRLA && var11 == ABS && var94 == EU93 ) || ( var2 == E5 && var3 == M9 && var5 == ISLA && var11 == SSABS && var94 == EU93 ) || ( var2 == E5 && var3 == M9 && var5 == ISLA && var11 == ABS && var94 == EU93 ) || ( var2 == E5 && var3 == M9 && var5 == ITAL && var11 == SSABS && var94 == EU93 ) || ( var2 == E5 && var3 == M9 && var5 == ITAL && var11 == ABS && var94 == EU93 ) || ( var2 == E5 && var3 == M9 && var5 == NORV && var11 == SSABS && var94 == EU93 ) || ( var2 == E5 && var3 == M9 && var5 == NORV && var11 == ABS && var94 == EU93 ) || ( var2 == E5 && var3 == M9 && var5 == PORT && var11 == SSABS && var94 == EU93 ) || ( var2 == E5 && var3 == M9 && var5 == PORT && var11 == ABS && var94 == EU93 ) || ( var2 == E5 && var3 == M9 && var5 == SUED && var11 == SSABS && var94 == EU93 ) || ( var2 == E5 && var3 == M9 && var5 == SUED && var11 == ABS && var94 == EU93 ) || ( var2 == E5 && var3 == M9 && var5 == SUIS && var11 == SSABS && var94 == EU93 ) || ( var2 == E5 && var3 == M9 && var5 == SUIS && var11 == ABS && var94 == EU93 ) || ( var2 == E5 && var3 == M9 && var5 == YOUG && var11 == SSABS && var94 == EU93 ) || ( var2 == E5 && var3 == M9 && var5 == YOUG && var11 == ABS && var94 == EU93 ) || ( var2 == E5 && var3 == M9 && var5 == MAGH && var11 == SSABS && var94 == EU93 ) || ( var2 == E5 && var3 == M9 && var5 == TURQ && var11 == SSABS && var94 == EU93 ) || ( var2 == E5 && var3 == M9 && var5 == TURQ && var11 == ABS && var94 == EU93 ) || ( var2 == E5 && var3 == M9 && var5 == ARGE && var11 == SSABS && var94 == EU93 ) || ( var2 == E5 && var3 == M9 && var5 == ARGE && var11 == ABS && var94 == EU93 ) || ( var2 == E5 && var3 == M9 && var5 == BRES && var11 == SSABS && var94 == EU93 ) || ( var2 == E5 && var3 == M9 && var5 == BRES && var11 == ABS && var94 == EU93 ) || ( var2 == E5 && var3 == M9 && var5 == CHIL && var11 == SSABS && var94 == EU93 ) || ( var2 == E5 && var3 == M9 && var5 == CHIL && var11 == ABS && var94 == EU93 ) || ( var2 == E5 && var3 == M9 && var5 == COLO && var11 == SSABS && var94 == EU93 ) || ( var2 == E5 && var3 == M9 && var5 == COLO && var11 == ABS && var94 == EU93 ) || ( var2 == E5 && var3 == M9 && var5 == AFSU && var11 == SSABS && var94 == EU93 ) || ( var2 == E5 && var3 == M9 && var5 == AFSU && var11 == ABS && var94 == EU93 ) || ( var2 == E5 && var3 == M9 && var5 == MARO && var11 == SSABS && var94 == EU93 ) || ( var2 == E5 && var3 == M9 && var5 == ISRA && var11 == SSABS && var94 == EU93 ) || ( var2 == E5 && var3 == M9 && var5 == ISRA && var11 == ABS && var94 == EU93 ) || ( var2 == E5 && var3 == M9 && var5 == HONG && var11 == SSABS && var94 == EU93 ) || ( var2 == E5 && var3 == M9 && var5 == HONG && var11 == ABS && var94 == EU93 ) || ( var2 == E5 && var3 == M9 && var5 == TCHE && var11 == SSABS && var94 == EU93 ) || ( var2 == E5 && var3 == M9 && var5 == TCHE && var11 == ABS && var94 == EU93 ) || ( var2 == E5 && var3 == M9 && var5 == POLO && var11 == SSABS && var94 == EU93 ) || ( var2 == E5 && var3 == M9 && var5 == POLO && var11 == ABS && var94 == EU93 ) || ( var2 == E5 && var3 == M9 && var5 == SLVQ && var11 == SSABS && var94 == EU93 ) || ( var2 == E5 && var3 == M9 && var5 == SLVQ && var11 == ABS && var94 == EU93 ) || ( var2 == E5 && var3 == M9 && var5 == JAPO && var11 == SSABS && var94 == EU93 ) || ( var2 == E5 && var3 == M9 && var5 == JAPO && var11 == ABS && var94 == EU93 ) || ( var2 == E5 && var3 == M9 && var5 == TAIW && var11 == SSABS && var94 == EU93 ) || ( var2 == E5 && var3 == M9 && var5 == TAIW && var11 == ABS && var94 == EU93 ) || ( var2 == E5 && var3 == M9 && var5 == AUST && var11 == SSABS && var94 == EU93 ) || ( var2 == E5 && var3 == M9 && var5 == AUST && var11 == ABS && var94 == EU93 ) || ( var2 == E5 && var3 == M9 && var5 == URUG && var11 == SSABS && var94 == EU93 ) || ( var2 == E5 && var3 == M9 && var5 == URUG && var11 == ABS && var94 == EU93 ) || ( var2 == E5 && var3 == M9 && var5 == DAIB && var11 == SSABS && var94 == EU93 ) || ( var2 == E5 && var3 == M9 && var5 == DAIC && var11 == SSABS && var94 == EU93 ) || ( var2 == E5 && var3 == M9 && var5 == DAIC && var11 == ABS && var94 == EU93 ) || ( var2 == E5 && var3 == M9 && var5 == DAID && var11 == SSABS && var94 == EU93 ) || ( var2 == E5 && var3 == M9 && var5 == DAID && var11 == ABS && var94 == EU93 ) || ( var2 == E5 && var3 == M9 && var5 == DAIF && var11 == SSABS && var94 == EU93 ) || ( var2 == E5 && var3 == M9 && var5 == DAIF && var11 == ABS && var94 == EU93 ) || ( var2 == E5 && var3 == M9 && var5 == EUOR && var11 == SSABS && var94 == EU93 ) || ( var2 == E5 && var3 == M9 && var5 == EUOR && var11 == ABS && var94 == EU93 ) || ( var2 == E5 && var3 == M9 && var5 == CETI && var11 == SSABS && var94 == EU93 ) || ( var2 == E5 && var3 == MA && var5 == FRAN && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MA && var5 == FRAN && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MA && var5 == DOTO && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MA && var5 == DOTO && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MA && var5 == ALLE && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MA && var5 == ALLE && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MA && var5 == AUTR && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MA && var5 == AUTR && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MA && var5 == BELG && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MA && var5 == BELG && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MA && var5 == DANE && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MA && var5 == DANE && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MA && var5 == ESPA && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MA && var5 == ESPA && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MA && var5 == FINL && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MA && var5 == FINL && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MA && var5 == GRBR && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MA && var5 == GRBR && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MA && var5 == GREC && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MA && var5 == GREC && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MA && var5 == HOLL && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MA && var5 == HOLL && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MA && var5 == IRLA && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MA && var5 == IRLA && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MA && var5 == ISLA && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MA && var5 == ISLA && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MA && var5 == ITAL && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MA && var5 == ITAL && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MA && var5 == NORV && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MA && var5 == NORV && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MA && var5 == PORT && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MA && var5 == PORT && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MA && var5 == SUED && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MA && var5 == SUED && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MA && var5 == SUIS && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MA && var5 == SUIS && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MA && var5 == YOUG && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MA && var5 == YOUG && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MA && var5 == MAGH && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MA && var5 == MAGH && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MA && var5 == TURQ && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MA && var5 == TURQ && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MA && var5 == ARGE && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MA && var5 == ARGE && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MA && var5 == BRES && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MA && var5 == BRES && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MA && var5 == CHIL && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MA && var5 == CHIL && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MA && var5 == COLO && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MA && var5 == COLO && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MA && var5 == AFSU && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MA && var5 == AFSU && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MA && var5 == MARO && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MA && var5 == MARO && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MA && var5 == ISRA && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MA && var5 == ISRA && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MA && var5 == HONG && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MA && var5 == HONG && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MA && var5 == TCHE && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MA && var5 == TCHE && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MA && var5 == POLO && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MA && var5 == POLO && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MA && var5 == SLVQ && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MA && var5 == SLVQ && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MA && var5 == JAPO && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MA && var5 == JAPO && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MA && var5 == TAIW && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MA && var5 == TAIW && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MA && var5 == AUST && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MA && var5 == AUST && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MA && var5 == URUG && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MA && var5 == URUG && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MA && var5 == DAIB && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MA && var5 == DAIB && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MA && var5 == DAIC && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MA && var5 == DAIC && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MA && var5 == DAID && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MA && var5 == DAID && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MA && var5 == DAIF && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MA && var5 == DAIF && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MA && var5 == EUOR && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MA && var5 == EUOR && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MA && var5 == CETI && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MA && var5 == CETI && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MB && var5 == FRAN && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == MB && var5 == FRAN && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MB && var5 == DOTO && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == MB && var5 == DOTO && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MB && var5 == ALLE && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == MB && var5 == ALLE && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MB && var5 == AUTR && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == MB && var5 == AUTR && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MB && var5 == BELG && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == MB && var5 == BELG && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MB && var5 == DANE && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == MB && var5 == DANE && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MB && var5 == ESPA && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == MB && var5 == ESPA && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MB && var5 == FINL && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == MB && var5 == FINL && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MB && var5 == GRBR && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == MB && var5 == GRBR && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MB && var5 == GREC && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == MB && var5 == GREC && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MB && var5 == HOLL && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == MB && var5 == HOLL && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MB && var5 == IRLA && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == MB && var5 == IRLA && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MB && var5 == ISLA && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == MB && var5 == ISLA && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MB && var5 == ITAL && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == MB && var5 == ITAL && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MB && var5 == NORV && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == MB && var5 == NORV && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MB && var5 == PORT && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == MB && var5 == PORT && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MB && var5 == SUED && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == MB && var5 == SUED && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MB && var5 == SUIS && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == MB && var5 == SUIS && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MB && var5 == YOUG && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == MB && var5 == YOUG && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MB && var5 == MAGH && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == MB && var5 == TURQ && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == MB && var5 == TURQ && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MB && var5 == ARGE && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == MB && var5 == ARGE && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MB && var5 == BRES && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == MB && var5 == BRES && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MB && var5 == CHIL && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == MB && var5 == CHIL && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MB && var5 == COLO && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == MB && var5 == COLO && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MB && var5 == AFSU && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == MB && var5 == AFSU && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MB && var5 == MARO && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == MB && var5 == ISRA && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == MB && var5 == ISRA && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MB && var5 == HONG && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == MB && var5 == HONG && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MB && var5 == TCHE && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == MB && var5 == TCHE && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MB && var5 == POLO && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == MB && var5 == POLO && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MB && var5 == SLVQ && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == MB && var5 == SLVQ && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MB && var5 == JAPO && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == MB && var5 == JAPO && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MB && var5 == TAIW && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == MB && var5 == TAIW && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MB && var5 == AUST && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == MB && var5 == AUST && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MB && var5 == URUG && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == MB && var5 == URUG && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MB && var5 == DAIB && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == MB && var5 == DAIC && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == MB && var5 == DAIC && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MB && var5 == DAID && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == MB && var5 == DAID && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MB && var5 == DAIF && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == MB && var5 == DAIF && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MB && var5 == EUOR && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == MB && var5 == EUOR && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MB && var5 == CETI && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == MC && var5 == FRAN && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MC && var5 == DOTO && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MC && var5 == ALLE && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MC && var5 == AUTR && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MC && var5 == BELG && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MC && var5 == DANE && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MC && var5 == ESPA && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MC && var5 == FINL && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MC && var5 == GRBR && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MC && var5 == GREC && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MC && var5 == HOLL && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MC && var5 == IRLA && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MC && var5 == ISLA && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MC && var5 == ITAL && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MC && var5 == NORV && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MC && var5 == PORT && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MC && var5 == SUED && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MC && var5 == SUIS && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MC && var5 == YOUG && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MC && var5 == MAGH && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == MC && var5 == TURQ && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MC && var5 == ARGE && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MC && var5 == BRES && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MC && var5 == CHIL && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MC && var5 == COLO && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MC && var5 == AFSU && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MC && var5 == MARO && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == MC && var5 == ISRA && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MC && var5 == HONG && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MC && var5 == TCHE && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MC && var5 == POLO && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MC && var5 == SLVQ && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MC && var5 == JAPO && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MC && var5 == TAIW && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MC && var5 == AUST && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MC && var5 == URUG && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MC && var5 == DAIB && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == MC && var5 == DAIC && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MC && var5 == DAID && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MC && var5 == DAIF && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MC && var5 == EUOR && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MC && var5 == CETI && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == MD && var5 == FRAN && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == MD && var5 == FRAN && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MD && var5 == DOTO && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == MD && var5 == DOTO && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MD && var5 == ALLE && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == MD && var5 == ALLE && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MD && var5 == AUTR && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == MD && var5 == AUTR && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MD && var5 == BELG && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == MD && var5 == BELG && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MD && var5 == DANE && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == MD && var5 == DANE && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MD && var5 == ESPA && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == MD && var5 == ESPA && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MD && var5 == FINL && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == MD && var5 == FINL && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MD && var5 == GRBR && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == MD && var5 == GRBR && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MD && var5 == GREC && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == MD && var5 == GREC && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MD && var5 == HOLL && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == MD && var5 == HOLL && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MD && var5 == IRLA && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == MD && var5 == IRLA && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MD && var5 == ISLA && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == MD && var5 == ISLA && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MD && var5 == ITAL && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == MD && var5 == ITAL && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MD && var5 == NORV && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == MD && var5 == NORV && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MD && var5 == PORT && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == MD && var5 == PORT && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MD && var5 == SUED && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == MD && var5 == SUED && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MD && var5 == SUIS && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == MD && var5 == SUIS && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MD && var5 == YOUG && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == MD && var5 == YOUG && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MD && var5 == MAGH && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == MD && var5 == TURQ && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == MD && var5 == TURQ && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MD && var5 == ARGE && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == MD && var5 == ARGE && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MD && var5 == BRES && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == MD && var5 == BRES && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MD && var5 == CHIL && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == MD && var5 == CHIL && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MD && var5 == COLO && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == MD && var5 == COLO && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MD && var5 == AFSU && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == MD && var5 == AFSU && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MD && var5 == MARO && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == MD && var5 == ISRA && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == MD && var5 == ISRA && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MD && var5 == HONG && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == MD && var5 == HONG && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MD && var5 == TCHE && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == MD && var5 == TCHE && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MD && var5 == POLO && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == MD && var5 == POLO && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MD && var5 == SLVQ && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == MD && var5 == SLVQ && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MD && var5 == JAPO && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == MD && var5 == JAPO && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MD && var5 == TAIW && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == MD && var5 == TAIW && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MD && var5 == AUST && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == MD && var5 == AUST && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MD && var5 == URUG && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == MD && var5 == URUG && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MD && var5 == DAIB && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == MD && var5 == DAIC && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == MD && var5 == DAIC && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MD && var5 == DAID && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == MD && var5 == DAID && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MD && var5 == DAIF && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == MD && var5 == DAIF && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MD && var5 == EUOR && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == MD && var5 == EUOR && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MD && var5 == CETI && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == ME && var5 == FRAN && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == ME && var5 == FRAN && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == ME && var5 == DOTO && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == ME && var5 == DOTO && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == ME && var5 == ALLE && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == ME && var5 == ALLE && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == ME && var5 == AUTR && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == ME && var5 == AUTR && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == ME && var5 == BELG && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == ME && var5 == BELG && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == ME && var5 == DANE && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == ME && var5 == DANE && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == ME && var5 == ESPA && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == ME && var5 == ESPA && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == ME && var5 == FINL && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == ME && var5 == FINL && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == ME && var5 == GRBR && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == ME && var5 == GRBR && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == ME && var5 == GREC && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == ME && var5 == GREC && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == ME && var5 == HOLL && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == ME && var5 == HOLL && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == ME && var5 == IRLA && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == ME && var5 == IRLA && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == ME && var5 == ISLA && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == ME && var5 == ISLA && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == ME && var5 == ITAL && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == ME && var5 == ITAL && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == ME && var5 == NORV && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == ME && var5 == NORV && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == ME && var5 == PORT && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == ME && var5 == PORT && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == ME && var5 == SUED && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == ME && var5 == SUED && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == ME && var5 == SUIS && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == ME && var5 == SUIS && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == ME && var5 == YOUG && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == ME && var5 == YOUG && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == ME && var5 == MAGH && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == ME && var5 == MAGH && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == ME && var5 == TURQ && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == ME && var5 == TURQ && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == ME && var5 == ARGE && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == ME && var5 == ARGE && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == ME && var5 == BRES && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == ME && var5 == BRES && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == ME && var5 == CHIL && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == ME && var5 == CHIL && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == ME && var5 == COLO && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == ME && var5 == COLO && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == ME && var5 == AFSU && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == ME && var5 == AFSU && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == ME && var5 == MARO && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == ME && var5 == MARO && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == ME && var5 == ISRA && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == ME && var5 == ISRA && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == ME && var5 == HONG && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == ME && var5 == HONG && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == ME && var5 == TCHE && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == ME && var5 == TCHE && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == ME && var5 == POLO && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == ME && var5 == POLO && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == ME && var5 == SLVQ && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == ME && var5 == SLVQ && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == ME && var5 == JAPO && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == ME && var5 == JAPO && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == ME && var5 == TAIW && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == ME && var5 == TAIW && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == ME && var5 == AUST && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == ME && var5 == AUST && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == ME && var5 == URUG && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == ME && var5 == URUG && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == ME && var5 == DAIB && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == ME && var5 == DAIB && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == ME && var5 == DAIC && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == ME && var5 == DAIC && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == ME && var5 == DAID && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == ME && var5 == DAID && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == ME && var5 == DAIF && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == ME && var5 == DAIF && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == ME && var5 == EUOR && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == ME && var5 == EUOR && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == ME && var5 == CETI && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == ME && var5 == CETI && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MF && var5 == FRAN && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MF && var5 == FRAN && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MF && var5 == DOTO && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MF && var5 == DOTO && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MF && var5 == ALLE && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MF && var5 == ALLE && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MF && var5 == AUTR && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MF && var5 == AUTR && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MF && var5 == BELG && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MF && var5 == BELG && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MF && var5 == DANE && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MF && var5 == DANE && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MF && var5 == ESPA && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MF && var5 == ESPA && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MF && var5 == FINL && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MF && var5 == FINL && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MF && var5 == GRBR && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MF && var5 == GRBR && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MF && var5 == GREC && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MF && var5 == GREC && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MF && var5 == HOLL && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MF && var5 == HOLL && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MF && var5 == IRLA && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MF && var5 == IRLA && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MF && var5 == ISLA && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MF && var5 == ISLA && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MF && var5 == ITAL && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MF && var5 == ITAL && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MF && var5 == NORV && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MF && var5 == NORV && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MF && var5 == PORT && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MF && var5 == PORT && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MF && var5 == SUED && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MF && var5 == SUED && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MF && var5 == SUIS && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MF && var5 == SUIS && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MF && var5 == YOUG && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MF && var5 == YOUG && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MF && var5 == MAGH && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MF && var5 == MAGH && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MF && var5 == TURQ && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MF && var5 == TURQ && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MF && var5 == ARGE && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MF && var5 == ARGE && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MF && var5 == BRES && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MF && var5 == BRES && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MF && var5 == CHIL && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MF && var5 == CHIL && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MF && var5 == COLO && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MF && var5 == COLO && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MF && var5 == AFSU && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MF && var5 == AFSU && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MF && var5 == MARO && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MF && var5 == MARO && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MF && var5 == ISRA && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MF && var5 == ISRA && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MF && var5 == HONG && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MF && var5 == HONG && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MF && var5 == TCHE && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MF && var5 == TCHE && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MF && var5 == POLO && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MF && var5 == POLO && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MF && var5 == SLVQ && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MF && var5 == SLVQ && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MF && var5 == JAPO && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MF && var5 == JAPO && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MF && var5 == TAIW && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MF && var5 == TAIW && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MF && var5 == AUST && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MF && var5 == AUST && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MF && var5 == URUG && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MF && var5 == URUG && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MF && var5 == DAIB && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MF && var5 == DAIB && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MF && var5 == DAIC && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MF && var5 == DAIC && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MF && var5 == DAID && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MF && var5 == DAID && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MF && var5 == DAIF && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MF && var5 == DAIF && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MF && var5 == EUOR && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MF && var5 == EUOR && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MF && var5 == CETI && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MF && var5 == CETI && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MJ && var5 == FRAN && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MJ && var5 == FRAN && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MJ && var5 == DOTO && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MJ && var5 == DOTO && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MJ && var5 == ALLE && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MJ && var5 == ALLE && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MJ && var5 == AUTR && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MJ && var5 == AUTR && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MJ && var5 == BELG && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MJ && var5 == BELG && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MJ && var5 == DANE && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MJ && var5 == DANE && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MJ && var5 == ESPA && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MJ && var5 == ESPA && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MJ && var5 == FINL && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MJ && var5 == FINL && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MJ && var5 == GRBR && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MJ && var5 == GRBR && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MJ && var5 == GREC && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MJ && var5 == GREC && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MJ && var5 == HOLL && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MJ && var5 == HOLL && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MJ && var5 == IRLA && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MJ && var5 == IRLA && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MJ && var5 == ISLA && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MJ && var5 == ISLA && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MJ && var5 == ITAL && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MJ && var5 == ITAL && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MJ && var5 == NORV && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MJ && var5 == NORV && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MJ && var5 == PORT && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MJ && var5 == PORT && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MJ && var5 == SUED && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MJ && var5 == SUED && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MJ && var5 == SUIS && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MJ && var5 == SUIS && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MJ && var5 == YOUG && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MJ && var5 == YOUG && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MJ && var5 == MAGH && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MJ && var5 == MAGH && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MJ && var5 == TURQ && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MJ && var5 == TURQ && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MJ && var5 == ARGE && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MJ && var5 == ARGE && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MJ && var5 == BRES && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MJ && var5 == BRES && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MJ && var5 == CHIL && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MJ && var5 == CHIL && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MJ && var5 == COLO && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MJ && var5 == COLO && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MJ && var5 == AFSU && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MJ && var5 == AFSU && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MJ && var5 == MARO && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MJ && var5 == MARO && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MJ && var5 == ISRA && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MJ && var5 == ISRA && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MJ && var5 == HONG && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MJ && var5 == HONG && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MJ && var5 == TCHE && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MJ && var5 == TCHE && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MJ && var5 == POLO && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MJ && var5 == POLO && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MJ && var5 == SLVQ && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MJ && var5 == SLVQ && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MJ && var5 == JAPO && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MJ && var5 == JAPO && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MJ && var5 == TAIW && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MJ && var5 == TAIW && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MJ && var5 == AUST && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MJ && var5 == AUST && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MJ && var5 == URUG && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MJ && var5 == URUG && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MJ && var5 == DAIB && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MJ && var5 == DAIB && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MJ && var5 == DAIC && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MJ && var5 == DAIC && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MJ && var5 == DAID && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MJ && var5 == DAID && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MJ && var5 == DAIF && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MJ && var5 == DAIF && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MJ && var5 == EUOR && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MJ && var5 == EUOR && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MJ && var5 == CETI && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MJ && var5 == CETI && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MK && var5 == FRAN && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MK && var5 == DOTO && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MK && var5 == ALLE && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MK && var5 == AUTR && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MK && var5 == BELG && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MK && var5 == DANE && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MK && var5 == ESPA && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MK && var5 == FINL && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MK && var5 == GRBR && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MK && var5 == GREC && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MK && var5 == HOLL && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MK && var5 == IRLA && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MK && var5 == ISLA && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MK && var5 == ITAL && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MK && var5 == NORV && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MK && var5 == PORT && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MK && var5 == SUED && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MK && var5 == SUIS && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MK && var5 == YOUG && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MK && var5 == MAGH && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MK && var5 == TURQ && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MK && var5 == ARGE && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MK && var5 == BRES && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MK && var5 == CHIL && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MK && var5 == COLO && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MK && var5 == AFSU && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MK && var5 == MARO && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MK && var5 == ISRA && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MK && var5 == HONG && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MK && var5 == TCHE && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MK && var5 == POLO && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MK && var5 == SLVQ && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MK && var5 == JAPO && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MK && var5 == TAIW && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MK && var5 == AUST && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MK && var5 == URUG && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MK && var5 == DAIB && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MK && var5 == DAIC && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MK && var5 == DAID && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MK && var5 == DAIF && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MK && var5 == EUOR && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MK && var5 == CETI && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == ML && var5 == FRAN && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == ML && var5 == FRAN && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == ML && var5 == DOTO && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == ML && var5 == DOTO && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == ML && var5 == ALLE && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == ML && var5 == ALLE && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == ML && var5 == AUTR && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == ML && var5 == AUTR && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == ML && var5 == BELG && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == ML && var5 == BELG && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == ML && var5 == DANE && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == ML && var5 == DANE && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == ML && var5 == ESPA && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == ML && var5 == ESPA && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == ML && var5 == FINL && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == ML && var5 == FINL && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == ML && var5 == GRBR && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == ML && var5 == GRBR && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == ML && var5 == GREC && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == ML && var5 == GREC && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == ML && var5 == HOLL && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == ML && var5 == HOLL && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == ML && var5 == IRLA && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == ML && var5 == IRLA && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == ML && var5 == ISLA && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == ML && var5 == ISLA && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == ML && var5 == ITAL && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == ML && var5 == ITAL && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == ML && var5 == NORV && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == ML && var5 == NORV && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == ML && var5 == PORT && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == ML && var5 == PORT && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == ML && var5 == SUED && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == ML && var5 == SUED && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == ML && var5 == SUIS && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == ML && var5 == SUIS && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == ML && var5 == YOUG && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == ML && var5 == YOUG && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == ML && var5 == MAGH && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == ML && var5 == MAGH && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == ML && var5 == TURQ && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == ML && var5 == TURQ && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == ML && var5 == ARGE && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == ML && var5 == ARGE && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == ML && var5 == BRES && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == ML && var5 == BRES && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == ML && var5 == CHIL && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == ML && var5 == CHIL && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == ML && var5 == COLO && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == ML && var5 == COLO && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == ML && var5 == AFSU && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == ML && var5 == AFSU && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == ML && var5 == MARO && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == ML && var5 == MARO && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == ML && var5 == ISRA && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == ML && var5 == ISRA && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == ML && var5 == HONG && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == ML && var5 == HONG && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == ML && var5 == TCHE && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == ML && var5 == TCHE && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == ML && var5 == POLO && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == ML && var5 == POLO && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == ML && var5 == SLVQ && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == ML && var5 == SLVQ && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == ML && var5 == JAPO && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == ML && var5 == JAPO && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == ML && var5 == TAIW && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == ML && var5 == TAIW && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == ML && var5 == AUST && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == ML && var5 == AUST && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == ML && var5 == URUG && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == ML && var5 == URUG && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == ML && var5 == DAIB && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == ML && var5 == DAIB && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == ML && var5 == DAIC && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == ML && var5 == DAIC && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == ML && var5 == DAID && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == ML && var5 == DAID && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == ML && var5 == DAIF && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == ML && var5 == DAIF && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == ML && var5 == EUOR && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == ML && var5 == EUOR && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == ML && var5 == CETI && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == ML && var5 == CETI && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MM && var5 == FRAN && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == MM && var5 == FRAN && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MM && var5 == DOTO && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == MM && var5 == DOTO && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MM && var5 == ALLE && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == MM && var5 == ALLE && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MM && var5 == AUTR && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == MM && var5 == AUTR && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MM && var5 == BELG && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == MM && var5 == BELG && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MM && var5 == DANE && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == MM && var5 == DANE && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MM && var5 == ESPA && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == MM && var5 == ESPA && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MM && var5 == FINL && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == MM && var5 == FINL && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MM && var5 == GRBR && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == MM && var5 == GRBR && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MM && var5 == GREC && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == MM && var5 == GREC && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MM && var5 == HOLL && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == MM && var5 == HOLL && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MM && var5 == IRLA && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == MM && var5 == IRLA && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MM && var5 == ISLA && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == MM && var5 == ISLA && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MM && var5 == ITAL && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == MM && var5 == ITAL && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MM && var5 == NORV && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == MM && var5 == NORV && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MM && var5 == PORT && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == MM && var5 == PORT && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MM && var5 == SUED && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == MM && var5 == SUED && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MM && var5 == SUIS && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == MM && var5 == SUIS && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MM && var5 == YOUG && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == MM && var5 == YOUG && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MM && var5 == MAGH && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == MM && var5 == TURQ && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == MM && var5 == TURQ && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MM && var5 == ARGE && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == MM && var5 == ARGE && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MM && var5 == BRES && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == MM && var5 == BRES && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MM && var5 == CHIL && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == MM && var5 == CHIL && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MM && var5 == COLO && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == MM && var5 == COLO && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MM && var5 == AFSU && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == MM && var5 == AFSU && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MM && var5 == MARO && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == MM && var5 == ISRA && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == MM && var5 == ISRA && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MM && var5 == HONG && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == MM && var5 == HONG && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MM && var5 == TCHE && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == MM && var5 == TCHE && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MM && var5 == POLO && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == MM && var5 == POLO && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MM && var5 == SLVQ && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == MM && var5 == SLVQ && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MM && var5 == JAPO && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == MM && var5 == JAPO && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MM && var5 == TAIW && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == MM && var5 == TAIW && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MM && var5 == AUST && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == MM && var5 == AUST && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MM && var5 == URUG && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == MM && var5 == URUG && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MM && var5 == DAIB && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == MM && var5 == DAIC && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == MM && var5 == DAIC && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MM && var5 == DAID && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == MM && var5 == DAID && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MM && var5 == DAIF && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == MM && var5 == DAIF && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MM && var5 == EUOR && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == MM && var5 == EUOR && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == MM && var5 == CETI && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == MS && var5 == FRAN && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E5 && var3 == MS && var5 == DOTO && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E5 && var3 == MS && var5 == ALLE && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E5 && var3 == MS && var5 == AUTR && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E5 && var3 == MS && var5 == BELG && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E5 && var3 == MS && var5 == DANE && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E5 && var3 == MS && var5 == ESPA && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E5 && var3 == MS && var5 == FINL && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E5 && var3 == MS && var5 == GRBR && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E5 && var3 == MS && var5 == GREC && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E5 && var3 == MS && var5 == HOLL && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E5 && var3 == MS && var5 == IRLA && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E5 && var3 == MS && var5 == ISLA && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E5 && var3 == MS && var5 == ITAL && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E5 && var3 == MS && var5 == NORV && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E5 && var3 == MS && var5 == PORT && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E5 && var3 == MS && var5 == SUED && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E5 && var3 == MS && var5 == SUIS && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E5 && var3 == MS && var5 == YOUG && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E5 && var3 == MS && var5 == MAGH && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E5 && var3 == MS && var5 == TURQ && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E5 && var3 == MS && var5 == ARGE && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E5 && var3 == MS && var5 == BRES && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E5 && var3 == MS && var5 == CHIL && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E5 && var3 == MS && var5 == COLO && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E5 && var3 == MS && var5 == AFSU && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E5 && var3 == MS && var5 == MARO && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E5 && var3 == MS && var5 == ISRA && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E5 && var3 == MS && var5 == HONG && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E5 && var3 == MS && var5 == TCHE && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E5 && var3 == MS && var5 == POLO && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E5 && var3 == MS && var5 == SLVQ && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E5 && var3 == MS && var5 == JAPO && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E5 && var3 == MS && var5 == TAIW && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E5 && var3 == MS && var5 == AUST && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E5 && var3 == MS && var5 == URUG && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E5 && var3 == MS && var5 == DAIB && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E5 && var3 == MS && var5 == DAIC && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E5 && var3 == MS && var5 == DAID && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E5 && var3 == MS && var5 == DAIF && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E5 && var3 == MS && var5 == EUOR && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E5 && var3 == MS && var5 == CETI && var11 == SSABS && var94 == CRIT1503 ) || ( var2 == E5 && var3 == MT && var5 == FRAN && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MT && var5 == FRAN && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MT && var5 == DOTO && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MT && var5 == DOTO && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MT && var5 == ALLE && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MT && var5 == ALLE && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MT && var5 == AUTR && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MT && var5 == AUTR && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MT && var5 == BELG && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MT && var5 == BELG && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MT && var5 == DANE && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MT && var5 == DANE && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MT && var5 == ESPA && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MT && var5 == ESPA && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MT && var5 == FINL && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MT && var5 == FINL && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MT && var5 == GRBR && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MT && var5 == GRBR && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MT && var5 == GREC && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MT && var5 == GREC && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MT && var5 == HOLL && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MT && var5 == HOLL && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MT && var5 == IRLA && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MT && var5 == IRLA && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MT && var5 == ISLA && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MT && var5 == ISLA && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MT && var5 == ITAL && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MT && var5 == ITAL && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MT && var5 == NORV && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MT && var5 == NORV && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MT && var5 == PORT && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MT && var5 == PORT && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MT && var5 == SUED && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MT && var5 == SUED && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MT && var5 == SUIS && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MT && var5 == SUIS && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MT && var5 == YOUG && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MT && var5 == YOUG && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MT && var5 == MAGH && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MT && var5 == MAGH && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MT && var5 == TURQ && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MT && var5 == TURQ && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MT && var5 == ARGE && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MT && var5 == ARGE && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MT && var5 == BRES && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MT && var5 == BRES && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MT && var5 == CHIL && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MT && var5 == CHIL && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MT && var5 == COLO && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MT && var5 == COLO && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MT && var5 == AFSU && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MT && var5 == AFSU && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MT && var5 == MARO && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MT && var5 == MARO && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MT && var5 == ISRA && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MT && var5 == ISRA && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MT && var5 == HONG && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MT && var5 == HONG && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MT && var5 == TCHE && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MT && var5 == TCHE && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MT && var5 == POLO && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MT && var5 == POLO && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MT && var5 == SLVQ && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MT && var5 == SLVQ && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MT && var5 == JAPO && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MT && var5 == JAPO && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MT && var5 == TAIW && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MT && var5 == TAIW && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MT && var5 == AUST && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MT && var5 == AUST && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MT && var5 == URUG && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MT && var5 == URUG && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MT && var5 == DAIB && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MT && var5 == DAIB && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MT && var5 == DAIC && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MT && var5 == DAIC && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MT && var5 == DAID && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MT && var5 == DAID && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MT && var5 == DAIF && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MT && var5 == DAIF && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MT && var5 == EUOR && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MT && var5 == EUOR && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MT && var5 == CETI && var11 == SSABS && var94 == EU96 ) || ( var2 == E5 && var3 == MT && var5 == CETI && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MU && var5 == FRAN && var11 == SSABS && var94 == EU93 ) || ( var2 == E5 && var3 == MU && var5 == DOTO && var11 == SSABS && var94 == EU93 ) || ( var2 == E5 && var3 == MU && var5 == ALLE && var11 == SSABS && var94 == EU93 ) || ( var2 == E5 && var3 == MU && var5 == AUTR && var11 == SSABS && var94 == EU93 ) || ( var2 == E5 && var3 == MU && var5 == BELG && var11 == SSABS && var94 == EU93 ) || ( var2 == E5 && var3 == MU && var5 == DANE && var11 == SSABS && var94 == EU93 ) || ( var2 == E5 && var3 == MU && var5 == ESPA && var11 == SSABS && var94 == EU93 ) || ( var2 == E5 && var3 == MU && var5 == FINL && var11 == SSABS && var94 == EU93 ) || ( var2 == E5 && var3 == MU && var5 == GRBR && var11 == SSABS && var94 == EU93 ) || ( var2 == E5 && var3 == MU && var5 == GREC && var11 == SSABS && var94 == EU93 ) || ( var2 == E5 && var3 == MU && var5 == HOLL && var11 == SSABS && var94 == EU93 ) || ( var2 == E5 && var3 == MU && var5 == IRLA && var11 == SSABS && var94 == EU93 ) || ( var2 == E5 && var3 == MU && var5 == ISLA && var11 == SSABS && var94 == EU93 ) || ( var2 == E5 && var3 == MU && var5 == ITAL && var11 == SSABS && var94 == EU93 ) || ( var2 == E5 && var3 == MU && var5 == NORV && var11 == SSABS && var94 == EU93 ) || ( var2 == E5 && var3 == MU && var5 == PORT && var11 == SSABS && var94 == EU93 ) || ( var2 == E5 && var3 == MU && var5 == SUED && var11 == SSABS && var94 == EU93 ) || ( var2 == E5 && var3 == MU && var5 == SUIS && var11 == SSABS && var94 == EU93 ) || ( var2 == E5 && var3 == MU && var5 == YOUG && var11 == SSABS && var94 == EU93 ) || ( var2 == E5 && var3 == MU && var5 == MAGH && var11 == SSABS && var94 == EU93 ) || ( var2 == E5 && var3 == MU && var5 == TURQ && var11 == SSABS && var94 == EU93 ) || ( var2 == E5 && var3 == MU && var5 == ARGE && var11 == SSABS && var94 == EU93 ) || ( var2 == E5 && var3 == MU && var5 == BRES && var11 == SSABS && var94 == EU93 ) || ( var2 == E5 && var3 == MU && var5 == CHIL && var11 == SSABS && var94 == EU93 ) || ( var2 == E5 && var3 == MU && var5 == COLO && var11 == SSABS && var94 == EU93 ) || ( var2 == E5 && var3 == MU && var5 == AFSU && var11 == SSABS && var94 == EU93 ) || ( var2 == E5 && var3 == MU && var5 == MARO && var11 == SSABS && var94 == EU93 ) || ( var2 == E5 && var3 == MU && var5 == ISRA && var11 == SSABS && var94 == EU93 ) || ( var2 == E5 && var3 == MU && var5 == HONG && var11 == SSABS && var94 == EU93 ) || ( var2 == E5 && var3 == MU && var5 == TCHE && var11 == SSABS && var94 == EU93 ) || ( var2 == E5 && var3 == MU && var5 == POLO && var11 == SSABS && var94 == EU93 ) || ( var2 == E5 && var3 == MU && var5 == SLVQ && var11 == SSABS && var94 == EU93 ) || ( var2 == E5 && var3 == MU && var5 == JAPO && var11 == SSABS && var94 == EU93 ) || ( var2 == E5 && var3 == MU && var5 == TAIW && var11 == SSABS && var94 == EU93 ) || ( var2 == E5 && var3 == MU && var5 == AUST && var11 == SSABS && var94 == EU93 ) || ( var2 == E5 && var3 == MU && var5 == URUG && var11 == SSABS && var94 == EU93 ) || ( var2 == E5 && var3 == MU && var5 == DAIB && var11 == SSABS && var94 == EU93 ) || ( var2 == E5 && var3 == MU && var5 == DAIC && var11 == SSABS && var94 == EU93 ) || ( var2 == E5 && var3 == MU && var5 == DAID && var11 == SSABS && var94 == EU93 ) || ( var2 == E5 && var3 == MU && var5 == DAIF && var11 == SSABS && var94 == EU93 ) || ( var2 == E5 && var3 == MU && var5 == EUOR && var11 == SSABS && var94 == EU93 ) || ( var2 == E5 && var3 == MU && var5 == CETI && var11 == SSABS && var94 == EU93 ) || ( var2 == E5 && var3 == MN && var5 == FRAN && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MN && var5 == DOTO && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MN && var5 == ALLE && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MN && var5 == AUTR && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MN && var5 == BELG && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MN && var5 == DANE && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MN && var5 == ESPA && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MN && var5 == FINL && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MN && var5 == GRBR && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MN && var5 == GREC && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MN && var5 == HOLL && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MN && var5 == IRLA && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MN && var5 == ISLA && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MN && var5 == ITAL && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MN && var5 == NORV && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MN && var5 == PORT && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MN && var5 == SUED && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MN && var5 == SUIS && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MN && var5 == YOUG && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MN && var5 == MAGH && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MN && var5 == TURQ && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MN && var5 == ARGE && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MN && var5 == BRES && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MN && var5 == CHIL && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MN && var5 == COLO && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MN && var5 == AFSU && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MN && var5 == MARO && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MN && var5 == ISRA && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MN && var5 == HONG && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MN && var5 == TCHE && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MN && var5 == POLO && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MN && var5 == SLVQ && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MN && var5 == JAPO && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MN && var5 == TAIW && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MN && var5 == AUST && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MN && var5 == URUG && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MN && var5 == DAIB && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MN && var5 == DAIC && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MN && var5 == DAID && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MN && var5 == DAIF && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MN && var5 == EUOR && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MN && var5 == CETI && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MH && var5 == FRAN && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MH && var5 == DOTO && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MH && var5 == ALLE && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MH && var5 == AUTR && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MH && var5 == BELG && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MH && var5 == DANE && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MH && var5 == ESPA && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MH && var5 == FINL && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MH && var5 == GRBR && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MH && var5 == GREC && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MH && var5 == HOLL && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MH && var5 == IRLA && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MH && var5 == ISLA && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MH && var5 == ITAL && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MH && var5 == NORV && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MH && var5 == PORT && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MH && var5 == SUED && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MH && var5 == SUIS && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MH && var5 == YOUG && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MH && var5 == MAGH && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MH && var5 == TURQ && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MH && var5 == ARGE && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MH && var5 == BRES && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MH && var5 == CHIL && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MH && var5 == COLO && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MH && var5 == AFSU && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MH && var5 == MARO && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MH && var5 == ISRA && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MH && var5 == HONG && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MH && var5 == TCHE && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MH && var5 == POLO && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MH && var5 == SLVQ && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MH && var5 == JAPO && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MH && var5 == TAIW && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MH && var5 == AUST && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MH && var5 == URUG && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MH && var5 == DAIB && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MH && var5 == DAIC && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MH && var5 == DAID && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MH && var5 == DAIF && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MH && var5 == EUOR && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MH && var5 == CETI && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MG && var5 == FRAN && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MG && var5 == DOTO && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MG && var5 == ALLE && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MG && var5 == AUTR && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MG && var5 == BELG && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MG && var5 == DANE && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MG && var5 == ESPA && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MG && var5 == FINL && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MG && var5 == GRBR && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MG && var5 == GREC && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MG && var5 == HOLL && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MG && var5 == IRLA && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MG && var5 == ISLA && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MG && var5 == ITAL && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MG && var5 == NORV && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MG && var5 == PORT && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MG && var5 == SUED && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MG && var5 == SUIS && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MG && var5 == YOUG && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MG && var5 == MAGH && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MG && var5 == TURQ && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MG && var5 == ARGE && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MG && var5 == BRES && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MG && var5 == CHIL && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MG && var5 == COLO && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MG && var5 == AFSU && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MG && var5 == MARO && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MG && var5 == ISRA && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MG && var5 == HONG && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MG && var5 == TCHE && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MG && var5 == POLO && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MG && var5 == SLVQ && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MG && var5 == JAPO && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MG && var5 == TAIW && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MG && var5 == AUST && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MG && var5 == URUG && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MG && var5 == DAIB && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MG && var5 == DAIC && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MG && var5 == DAID && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MG && var5 == DAIF && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MG && var5 == EUOR && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MG && var5 == CETI && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MY && var5 == FRAN && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MY && var5 == DOTO && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MY && var5 == ALLE && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MY && var5 == AUTR && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MY && var5 == BELG && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MY && var5 == DANE && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MY && var5 == ESPA && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MY && var5 == FINL && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MY && var5 == GRBR && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MY && var5 == GREC && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MY && var5 == HOLL && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MY && var5 == IRLA && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MY && var5 == ISLA && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MY && var5 == ITAL && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MY && var5 == NORV && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MY && var5 == PORT && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MY && var5 == SUED && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MY && var5 == SUIS && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MY && var5 == YOUG && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MY && var5 == MAGH && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MY && var5 == TURQ && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MY && var5 == ARGE && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MY && var5 == BRES && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MY && var5 == CHIL && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MY && var5 == COLO && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MY && var5 == AFSU && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MY && var5 == MARO && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MY && var5 == ISRA && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MY && var5 == HONG && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MY && var5 == TCHE && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MY && var5 == POLO && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MY && var5 == SLVQ && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MY && var5 == JAPO && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MY && var5 == TAIW && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MY && var5 == AUST && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MY && var5 == URUG && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MY && var5 == DAIB && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MY && var5 == DAIC && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MY && var5 == DAID && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MY && var5 == DAIF && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MY && var5 == EUOR && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == MY && var5 == CETI && var11 == ABS && var94 == EU96 ) || ( var2 == E5 && var3 == NM2K && var5 == FRAN && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM2K && var5 == FRAN && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM2K && var5 == DOTO && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM2K && var5 == DOTO && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM2K && var5 == ALLE && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM2K && var5 == ALLE && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM2K && var5 == AUTR && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM2K && var5 == AUTR && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM2K && var5 == BELG && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM2K && var5 == BELG && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM2K && var5 == DANE && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM2K && var5 == DANE && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM2K && var5 == ESPA && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM2K && var5 == ESPA && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM2K && var5 == FINL && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM2K && var5 == FINL && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM2K && var5 == GRBR && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM2K && var5 == GRBR && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM2K && var5 == GREC && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM2K && var5 == GREC && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM2K && var5 == HOLL && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM2K && var5 == HOLL && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM2K && var5 == IRLA && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM2K && var5 == IRLA && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM2K && var5 == ISLA && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM2K && var5 == ISLA && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM2K && var5 == ITAL && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM2K && var5 == ITAL && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM2K && var5 == NORV && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM2K && var5 == NORV && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM2K && var5 == PORT && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM2K && var5 == PORT && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM2K && var5 == SUED && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM2K && var5 == SUED && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM2K && var5 == SUIS && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM2K && var5 == SUIS && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM2K && var5 == YOUG && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM2K && var5 == YOUG && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM2K && var5 == MAGH && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM2K && var5 == TURQ && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM2K && var5 == TURQ && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM2K && var5 == ARGE && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM2K && var5 == ARGE && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM2K && var5 == BRES && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM2K && var5 == BRES && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM2K && var5 == CHIL && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM2K && var5 == CHIL && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM2K && var5 == COLO && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM2K && var5 == COLO && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM2K && var5 == AFSU && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM2K && var5 == AFSU && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM2K && var5 == MARO && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM2K && var5 == ISRA && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM2K && var5 == ISRA && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM2K && var5 == HONG && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM2K && var5 == HONG && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM2K && var5 == TCHE && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM2K && var5 == TCHE && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM2K && var5 == POLO && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM2K && var5 == POLO && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM2K && var5 == SLVQ && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM2K && var5 == SLVQ && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM2K && var5 == JAPO && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM2K && var5 == JAPO && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM2K && var5 == TAIW && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM2K && var5 == TAIW && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM2K && var5 == AUST && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM2K && var5 == AUST && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM2K && var5 == URUG && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM2K && var5 == URUG && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM2K && var5 == DAIB && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM2K && var5 == DAIC && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM2K && var5 == DAIC && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM2K && var5 == DAID && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM2K && var5 == DAID && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM2K && var5 == DAIF && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM2K && var5 == DAIF && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM2K && var5 == EUOR && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM2K && var5 == EUOR && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM2K && var5 == CETI && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM0C && var5 == FRAN && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM0C && var5 == FRAN && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM0C && var5 == DOTO && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM0C && var5 == DOTO && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM0C && var5 == ALLE && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM0C && var5 == ALLE && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM0C && var5 == AUTR && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM0C && var5 == AUTR && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM0C && var5 == BELG && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM0C && var5 == BELG && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM0C && var5 == DANE && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM0C && var5 == DANE && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM0C && var5 == ESPA && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM0C && var5 == ESPA && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM0C && var5 == FINL && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM0C && var5 == FINL && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM0C && var5 == GRBR && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM0C && var5 == GRBR && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM0C && var5 == GREC && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM0C && var5 == GREC && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM0C && var5 == HOLL && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM0C && var5 == HOLL && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM0C && var5 == IRLA && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM0C && var5 == IRLA && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM0C && var5 == ISLA && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM0C && var5 == ISLA && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM0C && var5 == ITAL && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM0C && var5 == ITAL && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM0C && var5 == NORV && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM0C && var5 == NORV && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM0C && var5 == PORT && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM0C && var5 == PORT && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM0C && var5 == SUED && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM0C && var5 == SUED && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM0C && var5 == SUIS && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM0C && var5 == SUIS && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM0C && var5 == YOUG && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM0C && var5 == YOUG && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM0C && var5 == MAGH && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM0C && var5 == TURQ && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM0C && var5 == TURQ && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM0C && var5 == ARGE && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM0C && var5 == ARGE && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM0C && var5 == BRES && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM0C && var5 == BRES && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM0C && var5 == CHIL && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM0C && var5 == CHIL && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM0C && var5 == COLO && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM0C && var5 == COLO && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM0C && var5 == AFSU && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM0C && var5 == AFSU && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM0C && var5 == MARO && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM0C && var5 == ISRA && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM0C && var5 == ISRA && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM0C && var5 == HONG && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM0C && var5 == HONG && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM0C && var5 == TCHE && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM0C && var5 == TCHE && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM0C && var5 == POLO && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM0C && var5 == POLO && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM0C && var5 == SLVQ && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM0C && var5 == SLVQ && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM0C && var5 == JAPO && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM0C && var5 == JAPO && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM0C && var5 == TAIW && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM0C && var5 == TAIW && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM0C && var5 == AUST && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM0C && var5 == AUST && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM0C && var5 == URUG && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM0C && var5 == URUG && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM0C && var5 == DAIB && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM0C && var5 == DAIC && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM0C && var5 == DAIC && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM0C && var5 == DAID && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM0C && var5 == DAID && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM0C && var5 == DAIF && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM0C && var5 == DAIF && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM0C && var5 == EUOR && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM0C && var5 == EUOR && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == NM0C && var5 == CETI && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == ND1G && var5 == FRAN && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == ND1G && var5 == FRAN && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == ND1G && var5 == DOTO && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == ND1G && var5 == DOTO && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == ND1G && var5 == ALLE && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == ND1G && var5 == ALLE && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == ND1G && var5 == AUTR && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == ND1G && var5 == AUTR && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == ND1G && var5 == BELG && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == ND1G && var5 == BELG && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == ND1G && var5 == DANE && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == ND1G && var5 == DANE && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == ND1G && var5 == ESPA && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == ND1G && var5 == ESPA && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == ND1G && var5 == FINL && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == ND1G && var5 == FINL && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == ND1G && var5 == GRBR && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == ND1G && var5 == GRBR && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == ND1G && var5 == GREC && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == ND1G && var5 == GREC && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == ND1G && var5 == HOLL && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == ND1G && var5 == HOLL && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == ND1G && var5 == IRLA && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == ND1G && var5 == IRLA && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == ND1G && var5 == ISLA && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == ND1G && var5 == ISLA && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == ND1G && var5 == ITAL && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == ND1G && var5 == ITAL && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == ND1G && var5 == NORV && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == ND1G && var5 == NORV && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == ND1G && var5 == PORT && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == ND1G && var5 == PORT && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == ND1G && var5 == SUED && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == ND1G && var5 == SUED && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == ND1G && var5 == SUIS && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == ND1G && var5 == SUIS && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == ND1G && var5 == YOUG && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == ND1G && var5 == YOUG && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == ND1G && var5 == MAGH && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == ND1G && var5 == TURQ && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == ND1G && var5 == TURQ && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == ND1G && var5 == ARGE && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == ND1G && var5 == ARGE && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == ND1G && var5 == BRES && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == ND1G && var5 == BRES && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == ND1G && var5 == CHIL && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == ND1G && var5 == CHIL && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == ND1G && var5 == COLO && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == ND1G && var5 == COLO && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == ND1G && var5 == AFSU && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == ND1G && var5 == AFSU && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == ND1G && var5 == MARO && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == ND1G && var5 == ISRA && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == ND1G && var5 == ISRA && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == ND1G && var5 == HONG && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == ND1G && var5 == HONG && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == ND1G && var5 == TCHE && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == ND1G && var5 == TCHE && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == ND1G && var5 == POLO && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == ND1G && var5 == POLO && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == ND1G && var5 == SLVQ && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == ND1G && var5 == SLVQ && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == ND1G && var5 == JAPO && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == ND1G && var5 == JAPO && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == ND1G && var5 == TAIW && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == ND1G && var5 == TAIW && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == ND1G && var5 == AUST && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == ND1G && var5 == AUST && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == ND1G && var5 == URUG && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == ND1G && var5 == URUG && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == ND1G && var5 == DAIB && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == ND1G && var5 == DAIC && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == ND1G && var5 == DAIC && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == ND1G && var5 == DAID && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == ND1G && var5 == DAID && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == ND1G && var5 == DAIF && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == ND1G && var5 == DAIF && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == ND1G && var5 == EUOR && var11 == SSABS && var94 == EU00 ) || ( var2 == E5 && var3 == ND1G && var5 == EUOR && var11 == ABS && var94 == EU00 ) || ( var2 == E5 && var3 == ND1G && var5 == CETI && var11 == SSABS && var94 == EU00 ) ) ); ( ( ( var2 == E0 && var3 == M5 && var13 == CHAUFO && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E0 && var3 == M5 && var13 == CHAUFO && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E0 && var3 == M5 && var13 == CHAUFO && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E0 && var3 == M5 && var13 == CHAUFO && var94 == EU00 && var98 == CPTECO ) || ( var2 == E0 && var3 == M5 && var13 == CHAUFO && var94 == EU00 && var98 == AZE ) || ( var2 == E0 && var3 == M5 && var13 == CHAUFO && var94 == EU00 && var98 == Autre913 ) || ( var2 == E0 && var3 == M5 && var13 == CA && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E0 && var3 == M5 && var13 == CA && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E0 && var3 == M5 && var13 == CA && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E0 && var3 == M5 && var13 == CA && var94 == EU00 && var98 == CPTECO ) || ( var2 == E0 && var3 == M5 && var13 == CA && var94 == EU00 && var98 == AZE ) || ( var2 == E0 && var3 == M5 && var13 == CA && var94 == EU00 && var98 == Autre913 ) || ( var2 == E0 && var3 == M6 && var13 == CHAUFO && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E0 && var3 == M6 && var13 == CHAUFO && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E0 && var3 == M6 && var13 == CHAUFO && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E0 && var3 == M6 && var13 == CHAUFO && var94 == EU93 && var98 == CPTECO ) || ( var2 == E0 && var3 == M6 && var13 == CHAUFO && var94 == EU93 && var98 == AZE ) || ( var2 == E0 && var3 == M6 && var13 == CHAUFO && var94 == EU93 && var98 == Autre913 ) || ( var2 == E0 && var3 == M6 && var13 == CA && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E0 && var3 == M6 && var13 == CA && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E0 && var3 == M6 && var13 == CA && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E0 && var3 == M6 && var13 == CA && var94 == EU93 && var98 == CPTECO ) || ( var2 == E0 && var3 == M6 && var13 == CA && var94 == EU93 && var98 == AZE ) || ( var2 == E0 && var3 == M6 && var13 == CA && var94 == EU93 && var98 == Autre913 ) || ( var2 == E0 && var3 == M7 && var13 == CHAUFO && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E0 && var3 == M7 && var13 == CHAUFO && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E0 && var3 == M7 && var13 == CHAUFO && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E0 && var3 == M7 && var13 == CA && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E0 && var3 == M7 && var13 == CA && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E0 && var3 == M7 && var13 == CA && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E0 && var3 == M8 && var13 == CHAUFO && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E0 && var3 == M8 && var13 == CHAUFO && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E0 && var3 == M8 && var13 == CHAUFO && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E0 && var3 == M8 && var13 == CHAUFO && var94 == EU96 && var98 == CPTECO ) || ( var2 == E0 && var3 == M8 && var13 == CHAUFO && var94 == EU96 && var98 == AZE ) || ( var2 == E0 && var3 == M8 && var13 == CHAUFO && var94 == EU96 && var98 == Autre913 ) || ( var2 == E0 && var3 == M8 && var13 == CA && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E0 && var3 == M8 && var13 == CA && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E0 && var3 == M8 && var13 == CA && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E0 && var3 == M8 && var13 == CA && var94 == EU96 && var98 == CPTECO ) || ( var2 == E0 && var3 == M8 && var13 == CA && var94 == EU96 && var98 == AZE ) || ( var2 == E0 && var3 == M8 && var13 == CA && var94 == EU96 && var98 == Autre913 ) || ( var2 == E0 && var3 == M9 && var13 == CHAUFO && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E0 && var3 == M9 && var13 == CHAUFO && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E0 && var3 == M9 && var13 == CHAUFO && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E0 && var3 == M9 && var13 == CHAUFO && var94 == EU93 && var98 == CPTECO ) || ( var2 == E0 && var3 == M9 && var13 == CHAUFO && var94 == EU93 && var98 == AZE ) || ( var2 == E0 && var3 == M9 && var13 == CHAUFO && var94 == EU93 && var98 == Autre913 ) || ( var2 == E0 && var3 == M9 && var13 == CA && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E0 && var3 == M9 && var13 == CA && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E0 && var3 == M9 && var13 == CA && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E0 && var3 == M9 && var13 == CA && var94 == EU93 && var98 == CPTECO ) || ( var2 == E0 && var3 == M9 && var13 == CA && var94 == EU93 && var98 == AZE ) || ( var2 == E0 && var3 == M9 && var13 == CA && var94 == EU93 && var98 == Autre913 ) || ( var2 == E0 && var3 == MA && var13 == CHAUFO && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E0 && var3 == MA && var13 == CHAUFO && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E0 && var3 == MA && var13 == CHAUFO && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E0 && var3 == MA && var13 == CHAUFO && var94 == EU96 && var98 == CPTECO ) || ( var2 == E0 && var3 == MA && var13 == CHAUFO && var94 == EU96 && var98 == Autre913 ) || ( var2 == E0 && var3 == MA && var13 == CA && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E0 && var3 == MA && var13 == CA && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E0 && var3 == MA && var13 == CA && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E0 && var3 == MA && var13 == CA && var94 == EU96 && var98 == CPTECO ) || ( var2 == E0 && var3 == MA && var13 == CA && var94 == EU96 && var98 == AZE ) || ( var2 == E0 && var3 == MA && var13 == CA && var94 == EU96 && var98 == Autre913 ) || ( var2 == E0 && var3 == MB && var13 == CHAUFO && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E0 && var3 == MB && var13 == CHAUFO && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E0 && var3 == MB && var13 == CHAUFO && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E0 && var3 == MB && var13 == CHAUFO && var94 == EU00 && var98 == CPTECO ) || ( var2 == E0 && var3 == MB && var13 == CHAUFO && var94 == EU00 && var98 == AZE ) || ( var2 == E0 && var3 == MB && var13 == CHAUFO && var94 == EU00 && var98 == Autre913 ) || ( var2 == E0 && var3 == MB && var13 == CA && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E0 && var3 == MB && var13 == CA && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E0 && var3 == MB && var13 == CA && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E0 && var3 == MB && var13 == CA && var94 == EU00 && var98 == CPTECO ) || ( var2 == E0 && var3 == MB && var13 == CA && var94 == EU00 && var98 == AZE ) || ( var2 == E0 && var3 == MB && var13 == CA && var94 == EU00 && var98 == Autre913 ) || ( var2 == E0 && var3 == MC && var13 == CHAUFO && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E0 && var3 == MC && var13 == CHAUFO && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E0 && var3 == MC && var13 == CHAUFO && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E0 && var3 == MC && var13 == CHAUFO && var94 == EU00 && var98 == CPTECO ) || ( var2 == E0 && var3 == MC && var13 == CHAUFO && var94 == EU00 && var98 == AZE ) || ( var2 == E0 && var3 == MC && var13 == CHAUFO && var94 == EU00 && var98 == Autre913 ) || ( var2 == E0 && var3 == MC && var13 == CA && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E0 && var3 == MC && var13 == CA && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E0 && var3 == MC && var13 == CA && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E0 && var3 == MC && var13 == CA && var94 == EU00 && var98 == CPTECO ) || ( var2 == E0 && var3 == MC && var13 == CA && var94 == EU00 && var98 == AZE ) || ( var2 == E0 && var3 == MC && var13 == CA && var94 == EU00 && var98 == Autre913 ) || ( var2 == E0 && var3 == MD && var13 == CHAUFO && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E0 && var3 == MD && var13 == CHAUFO && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E0 && var3 == MD && var13 == CHAUFO && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E0 && var3 == MD && var13 == CHAUFO && var94 == EU00 && var98 == CPTECO ) || ( var2 == E0 && var3 == MD && var13 == CHAUFO && var94 == EU00 && var98 == AZE ) || ( var2 == E0 && var3 == MD && var13 == CHAUFO && var94 == EU00 && var98 == Autre913 ) || ( var2 == E0 && var3 == MD && var13 == CA && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E0 && var3 == MD && var13 == CA && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E0 && var3 == MD && var13 == CA && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E0 && var3 == MD && var13 == CA && var94 == EU00 && var98 == CPTECO ) || ( var2 == E0 && var3 == MD && var13 == CA && var94 == EU00 && var98 == AZE ) || ( var2 == E0 && var3 == MD && var13 == CA && var94 == EU00 && var98 == Autre913 ) || ( var2 == E0 && var3 == ME && var13 == CHAUFO && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E0 && var3 == ME && var13 == CHAUFO && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E0 && var3 == ME && var13 == CHAUFO && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E0 && var3 == ME && var13 == CHAUFO && var94 == EU96 && var98 == CPTECO ) || ( var2 == E0 && var3 == ME && var13 == CHAUFO && var94 == EU96 && var98 == AZE ) || ( var2 == E0 && var3 == ME && var13 == CHAUFO && var94 == EU96 && var98 == Autre913 ) || ( var2 == E0 && var3 == ME && var13 == CA && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E0 && var3 == ME && var13 == CA && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E0 && var3 == ME && var13 == CA && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E0 && var3 == ME && var13 == CA && var94 == EU96 && var98 == CPTECO ) || ( var2 == E0 && var3 == ME && var13 == CA && var94 == EU96 && var98 == AZE ) || ( var2 == E0 && var3 == ME && var13 == CA && var94 == EU96 && var98 == Autre913 ) || ( var2 == E0 && var3 == MF && var13 == CHAUFO && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E0 && var3 == MF && var13 == CHAUFO && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E0 && var3 == MF && var13 == CHAUFO && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E0 && var3 == MF && var13 == CHAUFO && var94 == EU96 && var98 == CPTECO ) || ( var2 == E0 && var3 == MF && var13 == CHAUFO && var94 == EU96 && var98 == Autre913 ) || ( var2 == E0 && var3 == MF && var13 == CA && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E0 && var3 == MF && var13 == CA && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E0 && var3 == MF && var13 == CA && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E0 && var3 == MF && var13 == CA && var94 == EU96 && var98 == CPTECO ) || ( var2 == E0 && var3 == MF && var13 == CA && var94 == EU96 && var98 == AZE ) || ( var2 == E0 && var3 == MF && var13 == CA && var94 == EU96 && var98 == Autre913 ) || ( var2 == E0 && var3 == MJ && var13 == CHAUFO && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E0 && var3 == MJ && var13 == CHAUFO && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E0 && var3 == MJ && var13 == CHAUFO && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E0 && var3 == MJ && var13 == CHAUFO && var94 == EU96 && var98 == CPTECO ) || ( var2 == E0 && var3 == MJ && var13 == CHAUFO && var94 == EU96 && var98 == AZE ) || ( var2 == E0 && var3 == MJ && var13 == CHAUFO && var94 == EU96 && var98 == Autre913 ) || ( var2 == E0 && var3 == MJ && var13 == CA && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E0 && var3 == MJ && var13 == CA && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E0 && var3 == MJ && var13 == CA && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E0 && var3 == MJ && var13 == CA && var94 == EU96 && var98 == CPTECO ) || ( var2 == E0 && var3 == MJ && var13 == CA && var94 == EU96 && var98 == AZE ) || ( var2 == E0 && var3 == MJ && var13 == CA && var94 == EU96 && var98 == Autre913 ) || ( var2 == E0 && var3 == MK && var13 == CHAUFO && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E0 && var3 == MK && var13 == CHAUFO && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E0 && var3 == MK && var13 == CHAUFO && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E0 && var3 == MK && var13 == CHAUFO && var94 == EU96 && var98 == CPTECO ) || ( var2 == E0 && var3 == MK && var13 == CHAUFO && var94 == EU96 && var98 == AZE ) || ( var2 == E0 && var3 == MK && var13 == CHAUFO && var94 == EU96 && var98 == Autre913 ) || ( var2 == E0 && var3 == MK && var13 == CA && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E0 && var3 == MK && var13 == CA && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E0 && var3 == MK && var13 == CA && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E0 && var3 == MK && var13 == CA && var94 == EU96 && var98 == CPTECO ) || ( var2 == E0 && var3 == MK && var13 == CA && var94 == EU96 && var98 == AZE ) || ( var2 == E0 && var3 == MK && var13 == CA && var94 == EU96 && var98 == Autre913 ) || ( var2 == E0 && var3 == ML && var13 == CHAUFO && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E0 && var3 == ML && var13 == CHAUFO && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E0 && var3 == ML && var13 == CHAUFO && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E0 && var3 == ML && var13 == CHAUFO && var94 == EU96 && var98 == CPTECO ) || ( var2 == E0 && var3 == ML && var13 == CHAUFO && var94 == EU96 && var98 == AZE ) || ( var2 == E0 && var3 == ML && var13 == CHAUFO && var94 == EU96 && var98 == Autre913 ) || ( var2 == E0 && var3 == ML && var13 == CA && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E0 && var3 == ML && var13 == CA && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E0 && var3 == ML && var13 == CA && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E0 && var3 == ML && var13 == CA && var94 == EU96 && var98 == CPTECO ) || ( var2 == E0 && var3 == ML && var13 == CA && var94 == EU96 && var98 == AZE ) || ( var2 == E0 && var3 == ML && var13 == CA && var94 == EU96 && var98 == Autre913 ) || ( var2 == E0 && var3 == MM && var13 == CHAUFO && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E0 && var3 == MM && var13 == CHAUFO && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E0 && var3 == MM && var13 == CHAUFO && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E0 && var3 == MM && var13 == CHAUFO && var94 == EU00 && var98 == CPTECO ) || ( var2 == E0 && var3 == MM && var13 == CHAUFO && var94 == EU00 && var98 == AZE ) || ( var2 == E0 && var3 == MM && var13 == CHAUFO && var94 == EU00 && var98 == Autre913 ) || ( var2 == E0 && var3 == MM && var13 == CA && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E0 && var3 == MM && var13 == CA && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E0 && var3 == MM && var13 == CA && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E0 && var3 == MM && var13 == CA && var94 == EU00 && var98 == CPTECO ) || ( var2 == E0 && var3 == MM && var13 == CA && var94 == EU00 && var98 == AZE ) || ( var2 == E0 && var3 == MM && var13 == CA && var94 == EU00 && var98 == Autre913 ) || ( var2 == E0 && var3 == MS && var13 == CHAUFO && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E0 && var3 == MS && var13 == CHAUFO && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E0 && var3 == MS && var13 == CHAUFO && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E0 && var3 == MS && var13 == CA && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E0 && var3 == MS && var13 == CA && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E0 && var3 == MS && var13 == CA && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E0 && var3 == MT && var13 == CHAUFO && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E0 && var3 == MT && var13 == CHAUFO && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E0 && var3 == MT && var13 == CHAUFO && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E0 && var3 == MT && var13 == CHAUFO && var94 == EU96 && var98 == CPTECO ) || ( var2 == E0 && var3 == MT && var13 == CHAUFO && var94 == EU96 && var98 == AZE ) || ( var2 == E0 && var3 == MT && var13 == CHAUFO && var94 == EU96 && var98 == Autre913 ) || ( var2 == E0 && var3 == MT && var13 == CA && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E0 && var3 == MT && var13 == CA && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E0 && var3 == MT && var13 == CA && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E0 && var3 == MU && var13 == CHAUFO && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E0 && var3 == MU && var13 == CHAUFO && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E0 && var3 == MU && var13 == CHAUFO && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E0 && var3 == MU && var13 == CHAUFO && var94 == EU93 && var98 == CPTECO ) || ( var2 == E0 && var3 == MU && var13 == CHAUFO && var94 == EU93 && var98 == AZE ) || ( var2 == E0 && var3 == MU && var13 == CHAUFO && var94 == EU93 && var98 == Autre913 ) || ( var2 == E0 && var3 == MU && var13 == CA && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E0 && var3 == MU && var13 == CA && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E0 && var3 == MU && var13 == CA && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E0 && var3 == MU && var13 == CA && var94 == EU93 && var98 == CPTECO ) || ( var2 == E0 && var3 == MU && var13 == CA && var94 == EU93 && var98 == AZE ) || ( var2 == E0 && var3 == MU && var13 == CA && var94 == EU93 && var98 == Autre913 ) || ( var2 == E0 && var3 == MN && var13 == CHAUFO && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E0 && var3 == MN && var13 == CHAUFO && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E0 && var3 == MN && var13 == CHAUFO && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E0 && var3 == MN && var13 == CHAUFO && var94 == EU96 && var98 == CPTECO ) || ( var2 == E0 && var3 == MN && var13 == CHAUFO && var94 == EU96 && var98 == AZE ) || ( var2 == E0 && var3 == MN && var13 == CHAUFO && var94 == EU96 && var98 == Autre913 ) || ( var2 == E0 && var3 == MN && var13 == CA && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E0 && var3 == MN && var13 == CA && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E0 && var3 == MN && var13 == CA && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E0 && var3 == MN && var13 == CA && var94 == EU96 && var98 == CPTECO ) || ( var2 == E0 && var3 == MN && var13 == CA && var94 == EU96 && var98 == AZE ) || ( var2 == E0 && var3 == MN && var13 == CA && var94 == EU96 && var98 == Autre913 ) || ( var2 == E0 && var3 == MH && var13 == CHAUFO && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E0 && var3 == MH && var13 == CHAUFO && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E0 && var3 == MH && var13 == CHAUFO && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E0 && var3 == MH && var13 == CHAUFO && var94 == EU96 && var98 == CPTECO ) || ( var2 == E0 && var3 == MH && var13 == CHAUFO && var94 == EU96 && var98 == AZE ) || ( var2 == E0 && var3 == MH && var13 == CHAUFO && var94 == EU96 && var98 == Autre913 ) || ( var2 == E0 && var3 == MH && var13 == CA && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E0 && var3 == MH && var13 == CA && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E0 && var3 == MH && var13 == CA && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E0 && var3 == MH && var13 == CA && var94 == EU96 && var98 == CPTECO ) || ( var2 == E0 && var3 == MH && var13 == CA && var94 == EU96 && var98 == AZE ) || ( var2 == E0 && var3 == MH && var13 == CA && var94 == EU96 && var98 == Autre913 ) || ( var2 == E0 && var3 == MG && var13 == CHAUFO && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E0 && var3 == MG && var13 == CHAUFO && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E0 && var3 == MG && var13 == CHAUFO && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E0 && var3 == MG && var13 == CHAUFO && var94 == EU96 && var98 == CPTECO ) || ( var2 == E0 && var3 == MG && var13 == CHAUFO && var94 == EU96 && var98 == AZE ) || ( var2 == E0 && var3 == MG && var13 == CHAUFO && var94 == EU96 && var98 == Autre913 ) || ( var2 == E0 && var3 == MG && var13 == CA && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E0 && var3 == MG && var13 == CA && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E0 && var3 == MG && var13 == CA && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E0 && var3 == MG && var13 == CA && var94 == EU96 && var98 == CPTECO ) || ( var2 == E0 && var3 == MG && var13 == CA && var94 == EU96 && var98 == AZE ) || ( var2 == E0 && var3 == MG && var13 == CA && var94 == EU96 && var98 == Autre913 ) || ( var2 == E0 && var3 == MY && var13 == CHAUFO && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E0 && var3 == MY && var13 == CHAUFO && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E0 && var3 == MY && var13 == CHAUFO && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E0 && var3 == MY && var13 == CHAUFO && var94 == EU96 && var98 == CPTECO ) || ( var2 == E0 && var3 == MY && var13 == CHAUFO && var94 == EU96 && var98 == Autre913 ) || ( var2 == E0 && var3 == MY && var13 == CA && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E0 && var3 == MY && var13 == CA && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E0 && var3 == MY && var13 == CA && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E0 && var3 == MY && var13 == CA && var94 == EU96 && var98 == CPTECO ) || ( var2 == E0 && var3 == MY && var13 == CA && var94 == EU96 && var98 == AZE ) || ( var2 == E0 && var3 == MY && var13 == CA && var94 == EU96 && var98 == Autre913 ) || ( var2 == E0 && var3 == NM2K && var13 == CHAUFO && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E0 && var3 == NM2K && var13 == CHAUFO && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E0 && var3 == NM2K && var13 == CHAUFO && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E0 && var3 == NM2K && var13 == CHAUFO && var94 == EU00 && var98 == CPTECO ) || ( var2 == E0 && var3 == NM2K && var13 == CHAUFO && var94 == EU00 && var98 == AZE ) || ( var2 == E0 && var3 == NM2K && var13 == CHAUFO && var94 == EU00 && var98 == Autre913 ) || ( var2 == E0 && var3 == NM2K && var13 == CA && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E0 && var3 == NM2K && var13 == CA && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E0 && var3 == NM2K && var13 == CA && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E0 && var3 == NM2K && var13 == CA && var94 == EU00 && var98 == CPTECO ) || ( var2 == E0 && var3 == NM2K && var13 == CA && var94 == EU00 && var98 == AZE ) || ( var2 == E0 && var3 == NM2K && var13 == CA && var94 == EU00 && var98 == Autre913 ) || ( var2 == E0 && var3 == NM0C && var13 == CHAUFO && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E0 && var3 == NM0C && var13 == CHAUFO && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E0 && var3 == NM0C && var13 == CHAUFO && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E0 && var3 == NM0C && var13 == CHAUFO && var94 == EU00 && var98 == CPTECO ) || ( var2 == E0 && var3 == NM0C && var13 == CHAUFO && var94 == EU00 && var98 == AZE ) || ( var2 == E0 && var3 == NM0C && var13 == CHAUFO && var94 == EU00 && var98 == Autre913 ) || ( var2 == E0 && var3 == NM0C && var13 == CA && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E0 && var3 == NM0C && var13 == CA && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E0 && var3 == NM0C && var13 == CA && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E0 && var3 == NM0C && var13 == CA && var94 == EU00 && var98 == CPTECO ) || ( var2 == E0 && var3 == NM0C && var13 == CA && var94 == EU00 && var98 == AZE ) || ( var2 == E0 && var3 == NM0C && var13 == CA && var94 == EU00 && var98 == Autre913 ) || ( var2 == E0 && var3 == ND1G && var13 == CHAUFO && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E0 && var3 == ND1G && var13 == CHAUFO && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E0 && var3 == ND1G && var13 == CHAUFO && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E0 && var3 == ND1G && var13 == CHAUFO && var94 == EU00 && var98 == CPTECO ) || ( var2 == E0 && var3 == ND1G && var13 == CHAUFO && var94 == EU00 && var98 == AZE ) || ( var2 == E0 && var3 == ND1G && var13 == CHAUFO && var94 == EU00 && var98 == Autre913 ) || ( var2 == E0 && var3 == ND1G && var13 == CA && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E0 && var3 == ND1G && var13 == CA && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E0 && var3 == ND1G && var13 == CA && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E0 && var3 == ND1G && var13 == CA && var94 == EU00 && var98 == CPTECO ) || ( var2 == E0 && var3 == ND1G && var13 == CA && var94 == EU00 && var98 == AZE ) || ( var2 == E0 && var3 == ND1G && var13 == CA && var94 == EU00 && var98 == Autre913 ) || ( var2 == E1 && var3 == M5 && var13 == CHAUFO && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E1 && var3 == M5 && var13 == CHAUFO && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E1 && var3 == M5 && var13 == CHAUFO && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E1 && var3 == M5 && var13 == CHAUFO && var94 == EU00 && var98 == CPTECO ) || ( var2 == E1 && var3 == M5 && var13 == CHAUFO && var94 == EU00 && var98 == AZE ) || ( var2 == E1 && var3 == M5 && var13 == CHAUFO && var94 == EU00 && var98 == Autre913 ) || ( var2 == E1 && var3 == M5 && var13 == CA && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E1 && var3 == M5 && var13 == CA && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E1 && var3 == M5 && var13 == CA && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E1 && var3 == M5 && var13 == CA && var94 == EU00 && var98 == CPTECO ) || ( var2 == E1 && var3 == M5 && var13 == CA && var94 == EU00 && var98 == AZE ) || ( var2 == E1 && var3 == M5 && var13 == CA && var94 == EU00 && var98 == Autre913 ) || ( var2 == E1 && var3 == M6 && var13 == CHAUFO && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E1 && var3 == M6 && var13 == CHAUFO && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E1 && var3 == M6 && var13 == CHAUFO && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E1 && var3 == M6 && var13 == CHAUFO && var94 == EU93 && var98 == CPTECO ) || ( var2 == E1 && var3 == M6 && var13 == CHAUFO && var94 == EU93 && var98 == AZE ) || ( var2 == E1 && var3 == M6 && var13 == CHAUFO && var94 == EU93 && var98 == Autre913 ) || ( var2 == E1 && var3 == M6 && var13 == CA && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E1 && var3 == M6 && var13 == CA && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E1 && var3 == M6 && var13 == CA && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E1 && var3 == M6 && var13 == CA && var94 == EU93 && var98 == CPTECO ) || ( var2 == E1 && var3 == M6 && var13 == CA && var94 == EU93 && var98 == AZE ) || ( var2 == E1 && var3 == M6 && var13 == CA && var94 == EU93 && var98 == Autre913 ) || ( var2 == E1 && var3 == M7 && var13 == CHAUFO && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E1 && var3 == M7 && var13 == CHAUFO && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E1 && var3 == M7 && var13 == CHAUFO && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E1 && var3 == M7 && var13 == CA && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E1 && var3 == M7 && var13 == CA && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E1 && var3 == M7 && var13 == CA && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E1 && var3 == M8 && var13 == CHAUFO && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E1 && var3 == M8 && var13 == CHAUFO && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E1 && var3 == M8 && var13 == CHAUFO && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E1 && var3 == M8 && var13 == CHAUFO && var94 == EU96 && var98 == CPTECO ) || ( var2 == E1 && var3 == M8 && var13 == CHAUFO && var94 == EU96 && var98 == AZE ) || ( var2 == E1 && var3 == M8 && var13 == CHAUFO && var94 == EU96 && var98 == Autre913 ) || ( var2 == E1 && var3 == M8 && var13 == CA && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E1 && var3 == M8 && var13 == CA && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E1 && var3 == M8 && var13 == CA && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E1 && var3 == M8 && var13 == CA && var94 == EU96 && var98 == CPTECO ) || ( var2 == E1 && var3 == M8 && var13 == CA && var94 == EU96 && var98 == AZE ) || ( var2 == E1 && var3 == M8 && var13 == CA && var94 == EU96 && var98 == Autre913 ) || ( var2 == E1 && var3 == M9 && var13 == CHAUFO && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E1 && var3 == M9 && var13 == CHAUFO && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E1 && var3 == M9 && var13 == CHAUFO && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E1 && var3 == M9 && var13 == CHAUFO && var94 == EU93 && var98 == CPTECO ) || ( var2 == E1 && var3 == M9 && var13 == CHAUFO && var94 == EU93 && var98 == AZE ) || ( var2 == E1 && var3 == M9 && var13 == CHAUFO && var94 == EU93 && var98 == Autre913 ) || ( var2 == E1 && var3 == M9 && var13 == CA && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E1 && var3 == M9 && var13 == CA && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E1 && var3 == M9 && var13 == CA && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E1 && var3 == M9 && var13 == CA && var94 == EU93 && var98 == CPTECO ) || ( var2 == E1 && var3 == M9 && var13 == CA && var94 == EU93 && var98 == AZE ) || ( var2 == E1 && var3 == M9 && var13 == CA && var94 == EU93 && var98 == Autre913 ) || ( var2 == E1 && var3 == MA && var13 == CHAUFO && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E1 && var3 == MA && var13 == CHAUFO && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E1 && var3 == MA && var13 == CHAUFO && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E1 && var3 == MA && var13 == CHAUFO && var94 == EU96 && var98 == CPTECO ) || ( var2 == E1 && var3 == MA && var13 == CHAUFO && var94 == EU96 && var98 == Autre913 ) || ( var2 == E1 && var3 == MA && var13 == CA && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E1 && var3 == MA && var13 == CA && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E1 && var3 == MA && var13 == CA && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E1 && var3 == MA && var13 == CA && var94 == EU96 && var98 == CPTECO ) || ( var2 == E1 && var3 == MA && var13 == CA && var94 == EU96 && var98 == AZE ) || ( var2 == E1 && var3 == MA && var13 == CA && var94 == EU96 && var98 == Autre913 ) || ( var2 == E1 && var3 == MB && var13 == CHAUFO && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E1 && var3 == MB && var13 == CHAUFO && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E1 && var3 == MB && var13 == CHAUFO && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E1 && var3 == MB && var13 == CHAUFO && var94 == EU00 && var98 == CPTECO ) || ( var2 == E1 && var3 == MB && var13 == CHAUFO && var94 == EU00 && var98 == AZE ) || ( var2 == E1 && var3 == MB && var13 == CHAUFO && var94 == EU00 && var98 == Autre913 ) || ( var2 == E1 && var3 == MB && var13 == CA && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E1 && var3 == MB && var13 == CA && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E1 && var3 == MB && var13 == CA && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E1 && var3 == MB && var13 == CA && var94 == EU00 && var98 == CPTECO ) || ( var2 == E1 && var3 == MB && var13 == CA && var94 == EU00 && var98 == AZE ) || ( var2 == E1 && var3 == MB && var13 == CA && var94 == EU00 && var98 == Autre913 ) || ( var2 == E1 && var3 == MC && var13 == CHAUFO && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E1 && var3 == MC && var13 == CHAUFO && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E1 && var3 == MC && var13 == CHAUFO && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E1 && var3 == MC && var13 == CHAUFO && var94 == EU00 && var98 == CPTECO ) || ( var2 == E1 && var3 == MC && var13 == CHAUFO && var94 == EU00 && var98 == AZE ) || ( var2 == E1 && var3 == MC && var13 == CHAUFO && var94 == EU00 && var98 == Autre913 ) || ( var2 == E1 && var3 == MC && var13 == CA && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E1 && var3 == MC && var13 == CA && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E1 && var3 == MC && var13 == CA && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E1 && var3 == MC && var13 == CA && var94 == EU00 && var98 == CPTECO ) || ( var2 == E1 && var3 == MC && var13 == CA && var94 == EU00 && var98 == AZE ) || ( var2 == E1 && var3 == MC && var13 == CA && var94 == EU00 && var98 == Autre913 ) || ( var2 == E1 && var3 == MD && var13 == CHAUFO && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E1 && var3 == MD && var13 == CHAUFO && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E1 && var3 == MD && var13 == CHAUFO && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E1 && var3 == MD && var13 == CHAUFO && var94 == EU00 && var98 == CPTECO ) || ( var2 == E1 && var3 == MD && var13 == CHAUFO && var94 == EU00 && var98 == AZE ) || ( var2 == E1 && var3 == MD && var13 == CHAUFO && var94 == EU00 && var98 == Autre913 ) || ( var2 == E1 && var3 == MD && var13 == CA && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E1 && var3 == MD && var13 == CA && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E1 && var3 == MD && var13 == CA && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E1 && var3 == MD && var13 == CA && var94 == EU00 && var98 == CPTECO ) || ( var2 == E1 && var3 == MD && var13 == CA && var94 == EU00 && var98 == AZE ) || ( var2 == E1 && var3 == MD && var13 == CA && var94 == EU00 && var98 == Autre913 ) || ( var2 == E1 && var3 == ME && var13 == CHAUFO && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E1 && var3 == ME && var13 == CHAUFO && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E1 && var3 == ME && var13 == CHAUFO && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E1 && var3 == ME && var13 == CHAUFO && var94 == EU96 && var98 == CPTECO ) || ( var2 == E1 && var3 == ME && var13 == CHAUFO && var94 == EU96 && var98 == AZE ) || ( var2 == E1 && var3 == ME && var13 == CHAUFO && var94 == EU96 && var98 == Autre913 ) || ( var2 == E1 && var3 == ME && var13 == CA && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E1 && var3 == ME && var13 == CA && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E1 && var3 == ME && var13 == CA && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E1 && var3 == ME && var13 == CA && var94 == EU96 && var98 == CPTECO ) || ( var2 == E1 && var3 == ME && var13 == CA && var94 == EU96 && var98 == AZE ) || ( var2 == E1 && var3 == ME && var13 == CA && var94 == EU96 && var98 == Autre913 ) || ( var2 == E1 && var3 == MF && var13 == CHAUFO && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E1 && var3 == MF && var13 == CHAUFO && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E1 && var3 == MF && var13 == CHAUFO && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E1 && var3 == MF && var13 == CHAUFO && var94 == EU96 && var98 == CPTECO ) || ( var2 == E1 && var3 == MF && var13 == CHAUFO && var94 == EU96 && var98 == Autre913 ) || ( var2 == E1 && var3 == MF && var13 == CA && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E1 && var3 == MF && var13 == CA && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E1 && var3 == MF && var13 == CA && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E1 && var3 == MF && var13 == CA && var94 == EU96 && var98 == CPTECO ) || ( var2 == E1 && var3 == MF && var13 == CA && var94 == EU96 && var98 == AZE ) || ( var2 == E1 && var3 == MF && var13 == CA && var94 == EU96 && var98 == Autre913 ) || ( var2 == E1 && var3 == MJ && var13 == CHAUFO && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E1 && var3 == MJ && var13 == CHAUFO && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E1 && var3 == MJ && var13 == CHAUFO && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E1 && var3 == MJ && var13 == CHAUFO && var94 == EU96 && var98 == CPTECO ) || ( var2 == E1 && var3 == MJ && var13 == CHAUFO && var94 == EU96 && var98 == AZE ) || ( var2 == E1 && var3 == MJ && var13 == CHAUFO && var94 == EU96 && var98 == Autre913 ) || ( var2 == E1 && var3 == MJ && var13 == CA && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E1 && var3 == MJ && var13 == CA && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E1 && var3 == MJ && var13 == CA && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E1 && var3 == MJ && var13 == CA && var94 == EU96 && var98 == CPTECO ) || ( var2 == E1 && var3 == MJ && var13 == CA && var94 == EU96 && var98 == AZE ) || ( var2 == E1 && var3 == MJ && var13 == CA && var94 == EU96 && var98 == Autre913 ) || ( var2 == E1 && var3 == MK && var13 == CHAUFO && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E1 && var3 == MK && var13 == CHAUFO && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E1 && var3 == MK && var13 == CHAUFO && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E1 && var3 == MK && var13 == CHAUFO && var94 == EU96 && var98 == CPTECO ) || ( var2 == E1 && var3 == MK && var13 == CHAUFO && var94 == EU96 && var98 == AZE ) || ( var2 == E1 && var3 == MK && var13 == CHAUFO && var94 == EU96 && var98 == Autre913 ) || ( var2 == E1 && var3 == MK && var13 == CA && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E1 && var3 == MK && var13 == CA && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E1 && var3 == MK && var13 == CA && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E1 && var3 == MK && var13 == CA && var94 == EU96 && var98 == CPTECO ) || ( var2 == E1 && var3 == MK && var13 == CA && var94 == EU96 && var98 == AZE ) || ( var2 == E1 && var3 == MK && var13 == CA && var94 == EU96 && var98 == Autre913 ) || ( var2 == E1 && var3 == ML && var13 == CHAUFO && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E1 && var3 == ML && var13 == CHAUFO && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E1 && var3 == ML && var13 == CHAUFO && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E1 && var3 == ML && var13 == CHAUFO && var94 == EU96 && var98 == CPTECO ) || ( var2 == E1 && var3 == ML && var13 == CHAUFO && var94 == EU96 && var98 == AZE ) || ( var2 == E1 && var3 == ML && var13 == CHAUFO && var94 == EU96 && var98 == Autre913 ) || ( var2 == E1 && var3 == ML && var13 == CA && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E1 && var3 == ML && var13 == CA && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E1 && var3 == ML && var13 == CA && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E1 && var3 == ML && var13 == CA && var94 == EU96 && var98 == CPTECO ) || ( var2 == E1 && var3 == ML && var13 == CA && var94 == EU96 && var98 == AZE ) || ( var2 == E1 && var3 == ML && var13 == CA && var94 == EU96 && var98 == Autre913 ) || ( var2 == E1 && var3 == MM && var13 == CHAUFO && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E1 && var3 == MM && var13 == CHAUFO && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E1 && var3 == MM && var13 == CHAUFO && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E1 && var3 == MM && var13 == CHAUFO && var94 == EU00 && var98 == CPTECO ) || ( var2 == E1 && var3 == MM && var13 == CHAUFO && var94 == EU00 && var98 == AZE ) || ( var2 == E1 && var3 == MM && var13 == CHAUFO && var94 == EU00 && var98 == Autre913 ) || ( var2 == E1 && var3 == MM && var13 == CA && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E1 && var3 == MM && var13 == CA && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E1 && var3 == MM && var13 == CA && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E1 && var3 == MM && var13 == CA && var94 == EU00 && var98 == CPTECO ) || ( var2 == E1 && var3 == MM && var13 == CA && var94 == EU00 && var98 == AZE ) || ( var2 == E1 && var3 == MM && var13 == CA && var94 == EU00 && var98 == Autre913 ) || ( var2 == E1 && var3 == MS && var13 == CHAUFO && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E1 && var3 == MS && var13 == CHAUFO && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E1 && var3 == MS && var13 == CHAUFO && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E1 && var3 == MS && var13 == CA && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E1 && var3 == MS && var13 == CA && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E1 && var3 == MS && var13 == CA && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E1 && var3 == MT && var13 == CHAUFO && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E1 && var3 == MT && var13 == CHAUFO && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E1 && var3 == MT && var13 == CHAUFO && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E1 && var3 == MT && var13 == CHAUFO && var94 == EU96 && var98 == CPTECO ) || ( var2 == E1 && var3 == MT && var13 == CHAUFO && var94 == EU96 && var98 == AZE ) || ( var2 == E1 && var3 == MT && var13 == CHAUFO && var94 == EU96 && var98 == Autre913 ) || ( var2 == E1 && var3 == MT && var13 == CA && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E1 && var3 == MT && var13 == CA && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E1 && var3 == MT && var13 == CA && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E1 && var3 == MU && var13 == CHAUFO && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E1 && var3 == MU && var13 == CHAUFO && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E1 && var3 == MU && var13 == CHAUFO && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E1 && var3 == MU && var13 == CHAUFO && var94 == EU93 && var98 == CPTECO ) || ( var2 == E1 && var3 == MU && var13 == CHAUFO && var94 == EU93 && var98 == AZE ) || ( var2 == E1 && var3 == MU && var13 == CHAUFO && var94 == EU93 && var98 == Autre913 ) || ( var2 == E1 && var3 == MU && var13 == CA && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E1 && var3 == MU && var13 == CA && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E1 && var3 == MU && var13 == CA && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E1 && var3 == MU && var13 == CA && var94 == EU93 && var98 == CPTECO ) || ( var2 == E1 && var3 == MU && var13 == CA && var94 == EU93 && var98 == AZE ) || ( var2 == E1 && var3 == MU && var13 == CA && var94 == EU93 && var98 == Autre913 ) || ( var2 == E1 && var3 == MN && var13 == CHAUFO && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E1 && var3 == MN && var13 == CHAUFO && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E1 && var3 == MN && var13 == CHAUFO && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E1 && var3 == MN && var13 == CHAUFO && var94 == EU96 && var98 == CPTECO ) || ( var2 == E1 && var3 == MN && var13 == CHAUFO && var94 == EU96 && var98 == AZE ) || ( var2 == E1 && var3 == MN && var13 == CHAUFO && var94 == EU96 && var98 == Autre913 ) || ( var2 == E1 && var3 == MN && var13 == CA && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E1 && var3 == MN && var13 == CA && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E1 && var3 == MN && var13 == CA && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E1 && var3 == MN && var13 == CA && var94 == EU96 && var98 == CPTECO ) || ( var2 == E1 && var3 == MN && var13 == CA && var94 == EU96 && var98 == AZE ) || ( var2 == E1 && var3 == MN && var13 == CA && var94 == EU96 && var98 == Autre913 ) || ( var2 == E1 && var3 == MH && var13 == CHAUFO && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E1 && var3 == MH && var13 == CHAUFO && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E1 && var3 == MH && var13 == CHAUFO && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E1 && var3 == MH && var13 == CHAUFO && var94 == EU96 && var98 == CPTECO ) || ( var2 == E1 && var3 == MH && var13 == CHAUFO && var94 == EU96 && var98 == AZE ) || ( var2 == E1 && var3 == MH && var13 == CHAUFO && var94 == EU96 && var98 == Autre913 ) || ( var2 == E1 && var3 == MH && var13 == CA && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E1 && var3 == MH && var13 == CA && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E1 && var3 == MH && var13 == CA && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E1 && var3 == MH && var13 == CA && var94 == EU96 && var98 == CPTECO ) || ( var2 == E1 && var3 == MH && var13 == CA && var94 == EU96 && var98 == AZE ) || ( var2 == E1 && var3 == MH && var13 == CA && var94 == EU96 && var98 == Autre913 ) || ( var2 == E1 && var3 == MG && var13 == CHAUFO && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E1 && var3 == MG && var13 == CHAUFO && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E1 && var3 == MG && var13 == CHAUFO && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E1 && var3 == MG && var13 == CHAUFO && var94 == EU96 && var98 == CPTECO ) || ( var2 == E1 && var3 == MG && var13 == CHAUFO && var94 == EU96 && var98 == AZE ) || ( var2 == E1 && var3 == MG && var13 == CHAUFO && var94 == EU96 && var98 == Autre913 ) || ( var2 == E1 && var3 == MG && var13 == CA && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E1 && var3 == MG && var13 == CA && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E1 && var3 == MG && var13 == CA && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E1 && var3 == MG && var13 == CA && var94 == EU96 && var98 == CPTECO ) || ( var2 == E1 && var3 == MG && var13 == CA && var94 == EU96 && var98 == AZE ) || ( var2 == E1 && var3 == MG && var13 == CA && var94 == EU96 && var98 == Autre913 ) || ( var2 == E1 && var3 == MY && var13 == CHAUFO && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E1 && var3 == MY && var13 == CHAUFO && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E1 && var3 == MY && var13 == CHAUFO && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E1 && var3 == MY && var13 == CHAUFO && var94 == EU96 && var98 == CPTECO ) || ( var2 == E1 && var3 == MY && var13 == CHAUFO && var94 == EU96 && var98 == Autre913 ) || ( var2 == E1 && var3 == MY && var13 == CA && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E1 && var3 == MY && var13 == CA && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E1 && var3 == MY && var13 == CA && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E1 && var3 == MY && var13 == CA && var94 == EU96 && var98 == CPTECO ) || ( var2 == E1 && var3 == MY && var13 == CA && var94 == EU96 && var98 == AZE ) || ( var2 == E1 && var3 == MY && var13 == CA && var94 == EU96 && var98 == Autre913 ) || ( var2 == E1 && var3 == NM2K && var13 == CHAUFO && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E1 && var3 == NM2K && var13 == CHAUFO && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E1 && var3 == NM2K && var13 == CHAUFO && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E1 && var3 == NM2K && var13 == CHAUFO && var94 == EU00 && var98 == CPTECO ) || ( var2 == E1 && var3 == NM2K && var13 == CHAUFO && var94 == EU00 && var98 == AZE ) || ( var2 == E1 && var3 == NM2K && var13 == CHAUFO && var94 == EU00 && var98 == Autre913 ) || ( var2 == E1 && var3 == NM2K && var13 == CA && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E1 && var3 == NM2K && var13 == CA && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E1 && var3 == NM2K && var13 == CA && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E1 && var3 == NM2K && var13 == CA && var94 == EU00 && var98 == CPTECO ) || ( var2 == E1 && var3 == NM2K && var13 == CA && var94 == EU00 && var98 == AZE ) || ( var2 == E1 && var3 == NM2K && var13 == CA && var94 == EU00 && var98 == Autre913 ) || ( var2 == E1 && var3 == NM0C && var13 == CHAUFO && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E1 && var3 == NM0C && var13 == CHAUFO && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E1 && var3 == NM0C && var13 == CHAUFO && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E1 && var3 == NM0C && var13 == CHAUFO && var94 == EU00 && var98 == CPTECO ) || ( var2 == E1 && var3 == NM0C && var13 == CHAUFO && var94 == EU00 && var98 == AZE ) || ( var2 == E1 && var3 == NM0C && var13 == CHAUFO && var94 == EU00 && var98 == Autre913 ) || ( var2 == E1 && var3 == NM0C && var13 == CA && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E1 && var3 == NM0C && var13 == CA && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E1 && var3 == NM0C && var13 == CA && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E1 && var3 == NM0C && var13 == CA && var94 == EU00 && var98 == CPTECO ) || ( var2 == E1 && var3 == NM0C && var13 == CA && var94 == EU00 && var98 == AZE ) || ( var2 == E1 && var3 == NM0C && var13 == CA && var94 == EU00 && var98 == Autre913 ) || ( var2 == E1 && var3 == ND1G && var13 == CHAUFO && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E1 && var3 == ND1G && var13 == CHAUFO && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E1 && var3 == ND1G && var13 == CHAUFO && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E1 && var3 == ND1G && var13 == CHAUFO && var94 == EU00 && var98 == CPTECO ) || ( var2 == E1 && var3 == ND1G && var13 == CHAUFO && var94 == EU00 && var98 == AZE ) || ( var2 == E1 && var3 == ND1G && var13 == CHAUFO && var94 == EU00 && var98 == Autre913 ) || ( var2 == E1 && var3 == ND1G && var13 == CA && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E1 && var3 == ND1G && var13 == CA && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E1 && var3 == ND1G && var13 == CA && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E1 && var3 == ND1G && var13 == CA && var94 == EU00 && var98 == CPTECO ) || ( var2 == E1 && var3 == ND1G && var13 == CA && var94 == EU00 && var98 == AZE ) || ( var2 == E1 && var3 == ND1G && var13 == CA && var94 == EU00 && var98 == Autre913 ) || ( var2 == E2 && var3 == M5 && var13 == CHAUFO && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E2 && var3 == M5 && var13 == CHAUFO && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E2 && var3 == M5 && var13 == CHAUFO && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E2 && var3 == M5 && var13 == CHAUFO && var94 == EU00 && var98 == CPTECO ) || ( var2 == E2 && var3 == M5 && var13 == CHAUFO && var94 == EU00 && var98 == AZE ) || ( var2 == E2 && var3 == M5 && var13 == CHAUFO && var94 == EU00 && var98 == Autre913 ) || ( var2 == E2 && var3 == M5 && var13 == CA && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E2 && var3 == M5 && var13 == CA && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E2 && var3 == M5 && var13 == CA && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E2 && var3 == M5 && var13 == CA && var94 == EU00 && var98 == CPTECO ) || ( var2 == E2 && var3 == M5 && var13 == CA && var94 == EU00 && var98 == AZE ) || ( var2 == E2 && var3 == M5 && var13 == CA && var94 == EU00 && var98 == Autre913 ) || ( var2 == E2 && var3 == M6 && var13 == CHAUFO && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E2 && var3 == M6 && var13 == CHAUFO && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E2 && var3 == M6 && var13 == CHAUFO && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E2 && var3 == M6 && var13 == CHAUFO && var94 == EU93 && var98 == CPTECO ) || ( var2 == E2 && var3 == M6 && var13 == CHAUFO && var94 == EU93 && var98 == AZE ) || ( var2 == E2 && var3 == M6 && var13 == CHAUFO && var94 == EU93 && var98 == Autre913 ) || ( var2 == E2 && var3 == M6 && var13 == CA && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E2 && var3 == M6 && var13 == CA && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E2 && var3 == M6 && var13 == CA && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E2 && var3 == M6 && var13 == CA && var94 == EU93 && var98 == CPTECO ) || ( var2 == E2 && var3 == M6 && var13 == CA && var94 == EU93 && var98 == AZE ) || ( var2 == E2 && var3 == M6 && var13 == CA && var94 == EU93 && var98 == Autre913 ) || ( var2 == E2 && var3 == M7 && var13 == CHAUFO && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E2 && var3 == M7 && var13 == CHAUFO && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E2 && var3 == M7 && var13 == CHAUFO && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E2 && var3 == M7 && var13 == CA && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E2 && var3 == M7 && var13 == CA && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E2 && var3 == M7 && var13 == CA && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E2 && var3 == M8 && var13 == CHAUFO && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E2 && var3 == M8 && var13 == CHAUFO && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E2 && var3 == M8 && var13 == CHAUFO && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E2 && var3 == M8 && var13 == CHAUFO && var94 == EU96 && var98 == CPTECO ) || ( var2 == E2 && var3 == M8 && var13 == CHAUFO && var94 == EU96 && var98 == AZE ) || ( var2 == E2 && var3 == M8 && var13 == CHAUFO && var94 == EU96 && var98 == Autre913 ) || ( var2 == E2 && var3 == M8 && var13 == CA && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E2 && var3 == M8 && var13 == CA && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E2 && var3 == M8 && var13 == CA && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E2 && var3 == M8 && var13 == CA && var94 == EU96 && var98 == CPTECO ) || ( var2 == E2 && var3 == M8 && var13 == CA && var94 == EU96 && var98 == AZE ) || ( var2 == E2 && var3 == M8 && var13 == CA && var94 == EU96 && var98 == Autre913 ) || ( var2 == E2 && var3 == M9 && var13 == CHAUFO && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E2 && var3 == M9 && var13 == CHAUFO && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E2 && var3 == M9 && var13 == CHAUFO && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E2 && var3 == M9 && var13 == CHAUFO && var94 == EU93 && var98 == CPTECO ) || ( var2 == E2 && var3 == M9 && var13 == CHAUFO && var94 == EU93 && var98 == AZE ) || ( var2 == E2 && var3 == M9 && var13 == CHAUFO && var94 == EU93 && var98 == Autre913 ) || ( var2 == E2 && var3 == M9 && var13 == CA && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E2 && var3 == M9 && var13 == CA && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E2 && var3 == M9 && var13 == CA && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E2 && var3 == M9 && var13 == CA && var94 == EU93 && var98 == CPTECO ) || ( var2 == E2 && var3 == M9 && var13 == CA && var94 == EU93 && var98 == AZE ) || ( var2 == E2 && var3 == M9 && var13 == CA && var94 == EU93 && var98 == Autre913 ) || ( var2 == E2 && var3 == MA && var13 == CHAUFO && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E2 && var3 == MA && var13 == CHAUFO && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E2 && var3 == MA && var13 == CHAUFO && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E2 && var3 == MA && var13 == CHAUFO && var94 == EU96 && var98 == CPTECO ) || ( var2 == E2 && var3 == MA && var13 == CHAUFO && var94 == EU96 && var98 == Autre913 ) || ( var2 == E2 && var3 == MA && var13 == CA && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E2 && var3 == MA && var13 == CA && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E2 && var3 == MA && var13 == CA && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E2 && var3 == MA && var13 == CA && var94 == EU96 && var98 == CPTECO ) || ( var2 == E2 && var3 == MA && var13 == CA && var94 == EU96 && var98 == AZE ) || ( var2 == E2 && var3 == MA && var13 == CA && var94 == EU96 && var98 == Autre913 ) || ( var2 == E2 && var3 == MB && var13 == CHAUFO && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E2 && var3 == MB && var13 == CHAUFO && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E2 && var3 == MB && var13 == CHAUFO && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E2 && var3 == MB && var13 == CHAUFO && var94 == EU00 && var98 == CPTECO ) || ( var2 == E2 && var3 == MB && var13 == CHAUFO && var94 == EU00 && var98 == AZE ) || ( var2 == E2 && var3 == MB && var13 == CHAUFO && var94 == EU00 && var98 == Autre913 ) || ( var2 == E2 && var3 == MB && var13 == CA && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E2 && var3 == MB && var13 == CA && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E2 && var3 == MB && var13 == CA && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E2 && var3 == MB && var13 == CA && var94 == EU00 && var98 == CPTECO ) || ( var2 == E2 && var3 == MB && var13 == CA && var94 == EU00 && var98 == AZE ) || ( var2 == E2 && var3 == MB && var13 == CA && var94 == EU00 && var98 == Autre913 ) || ( var2 == E2 && var3 == MC && var13 == CHAUFO && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E2 && var3 == MC && var13 == CHAUFO && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E2 && var3 == MC && var13 == CHAUFO && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E2 && var3 == MC && var13 == CHAUFO && var94 == EU00 && var98 == CPTECO ) || ( var2 == E2 && var3 == MC && var13 == CHAUFO && var94 == EU00 && var98 == AZE ) || ( var2 == E2 && var3 == MC && var13 == CHAUFO && var94 == EU00 && var98 == Autre913 ) || ( var2 == E2 && var3 == MC && var13 == CA && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E2 && var3 == MC && var13 == CA && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E2 && var3 == MC && var13 == CA && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E2 && var3 == MC && var13 == CA && var94 == EU00 && var98 == CPTECO ) || ( var2 == E2 && var3 == MC && var13 == CA && var94 == EU00 && var98 == AZE ) || ( var2 == E2 && var3 == MC && var13 == CA && var94 == EU00 && var98 == Autre913 ) || ( var2 == E2 && var3 == MD && var13 == CHAUFO && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E2 && var3 == MD && var13 == CHAUFO && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E2 && var3 == MD && var13 == CHAUFO && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E2 && var3 == MD && var13 == CHAUFO && var94 == EU00 && var98 == CPTECO ) || ( var2 == E2 && var3 == MD && var13 == CHAUFO && var94 == EU00 && var98 == AZE ) || ( var2 == E2 && var3 == MD && var13 == CHAUFO && var94 == EU00 && var98 == Autre913 ) || ( var2 == E2 && var3 == MD && var13 == CA && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E2 && var3 == MD && var13 == CA && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E2 && var3 == MD && var13 == CA && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E2 && var3 == MD && var13 == CA && var94 == EU00 && var98 == CPTECO ) || ( var2 == E2 && var3 == MD && var13 == CA && var94 == EU00 && var98 == AZE ) || ( var2 == E2 && var3 == MD && var13 == CA && var94 == EU00 && var98 == Autre913 ) || ( var2 == E2 && var3 == ME && var13 == CHAUFO && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E2 && var3 == ME && var13 == CHAUFO && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E2 && var3 == ME && var13 == CHAUFO && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E2 && var3 == ME && var13 == CHAUFO && var94 == EU96 && var98 == CPTECO ) || ( var2 == E2 && var3 == ME && var13 == CHAUFO && var94 == EU96 && var98 == AZE ) || ( var2 == E2 && var3 == ME && var13 == CHAUFO && var94 == EU96 && var98 == Autre913 ) || ( var2 == E2 && var3 == ME && var13 == CA && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E2 && var3 == ME && var13 == CA && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E2 && var3 == ME && var13 == CA && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E2 && var3 == ME && var13 == CA && var94 == EU96 && var98 == CPTECO ) || ( var2 == E2 && var3 == ME && var13 == CA && var94 == EU96 && var98 == AZE ) || ( var2 == E2 && var3 == ME && var13 == CA && var94 == EU96 && var98 == Autre913 ) || ( var2 == E2 && var3 == MF && var13 == CHAUFO && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E2 && var3 == MF && var13 == CHAUFO && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E2 && var3 == MF && var13 == CHAUFO && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E2 && var3 == MF && var13 == CHAUFO && var94 == EU96 && var98 == CPTECO ) || ( var2 == E2 && var3 == MF && var13 == CHAUFO && var94 == EU96 && var98 == Autre913 ) || ( var2 == E2 && var3 == MF && var13 == CA && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E2 && var3 == MF && var13 == CA && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E2 && var3 == MF && var13 == CA && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E2 && var3 == MF && var13 == CA && var94 == EU96 && var98 == CPTECO ) || ( var2 == E2 && var3 == MF && var13 == CA && var94 == EU96 && var98 == AZE ) || ( var2 == E2 && var3 == MF && var13 == CA && var94 == EU96 && var98 == Autre913 ) || ( var2 == E2 && var3 == MJ && var13 == CHAUFO && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E2 && var3 == MJ && var13 == CHAUFO && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E2 && var3 == MJ && var13 == CHAUFO && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E2 && var3 == MJ && var13 == CHAUFO && var94 == EU96 && var98 == CPTECO ) || ( var2 == E2 && var3 == MJ && var13 == CHAUFO && var94 == EU96 && var98 == AZE ) || ( var2 == E2 && var3 == MJ && var13 == CHAUFO && var94 == EU96 && var98 == Autre913 ) || ( var2 == E2 && var3 == MJ && var13 == CA && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E2 && var3 == MJ && var13 == CA && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E2 && var3 == MJ && var13 == CA && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E2 && var3 == MJ && var13 == CA && var94 == EU96 && var98 == CPTECO ) || ( var2 == E2 && var3 == MJ && var13 == CA && var94 == EU96 && var98 == AZE ) || ( var2 == E2 && var3 == MJ && var13 == CA && var94 == EU96 && var98 == Autre913 ) || ( var2 == E2 && var3 == MK && var13 == CHAUFO && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E2 && var3 == MK && var13 == CHAUFO && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E2 && var3 == MK && var13 == CHAUFO && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E2 && var3 == MK && var13 == CHAUFO && var94 == EU96 && var98 == CPTECO ) || ( var2 == E2 && var3 == MK && var13 == CHAUFO && var94 == EU96 && var98 == AZE ) || ( var2 == E2 && var3 == MK && var13 == CHAUFO && var94 == EU96 && var98 == Autre913 ) || ( var2 == E2 && var3 == MK && var13 == CA && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E2 && var3 == MK && var13 == CA && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E2 && var3 == MK && var13 == CA && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E2 && var3 == MK && var13 == CA && var94 == EU96 && var98 == CPTECO ) || ( var2 == E2 && var3 == MK && var13 == CA && var94 == EU96 && var98 == AZE ) || ( var2 == E2 && var3 == MK && var13 == CA && var94 == EU96 && var98 == Autre913 ) || ( var2 == E2 && var3 == ML && var13 == CHAUFO && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E2 && var3 == ML && var13 == CHAUFO && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E2 && var3 == ML && var13 == CHAUFO && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E2 && var3 == ML && var13 == CHAUFO && var94 == EU96 && var98 == CPTECO ) || ( var2 == E2 && var3 == ML && var13 == CHAUFO && var94 == EU96 && var98 == AZE ) || ( var2 == E2 && var3 == ML && var13 == CHAUFO && var94 == EU96 && var98 == Autre913 ) || ( var2 == E2 && var3 == ML && var13 == CA && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E2 && var3 == ML && var13 == CA && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E2 && var3 == ML && var13 == CA && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E2 && var3 == ML && var13 == CA && var94 == EU96 && var98 == CPTECO ) || ( var2 == E2 && var3 == ML && var13 == CA && var94 == EU96 && var98 == AZE ) || ( var2 == E2 && var3 == ML && var13 == CA && var94 == EU96 && var98 == Autre913 ) || ( var2 == E2 && var3 == MM && var13 == CHAUFO && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E2 && var3 == MM && var13 == CHAUFO && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E2 && var3 == MM && var13 == CHAUFO && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E2 && var3 == MM && var13 == CHAUFO && var94 == EU00 && var98 == CPTECO ) || ( var2 == E2 && var3 == MM && var13 == CHAUFO && var94 == EU00 && var98 == AZE ) || ( var2 == E2 && var3 == MM && var13 == CHAUFO && var94 == EU00 && var98 == Autre913 ) || ( var2 == E2 && var3 == MM && var13 == CA && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E2 && var3 == MM && var13 == CA && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E2 && var3 == MM && var13 == CA && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E2 && var3 == MM && var13 == CA && var94 == EU00 && var98 == CPTECO ) || ( var2 == E2 && var3 == MM && var13 == CA && var94 == EU00 && var98 == AZE ) || ( var2 == E2 && var3 == MM && var13 == CA && var94 == EU00 && var98 == Autre913 ) || ( var2 == E2 && var3 == MS && var13 == CHAUFO && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E2 && var3 == MS && var13 == CHAUFO && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E2 && var3 == MS && var13 == CHAUFO && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E2 && var3 == MS && var13 == CA && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E2 && var3 == MS && var13 == CA && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E2 && var3 == MS && var13 == CA && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E2 && var3 == MT && var13 == CHAUFO && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E2 && var3 == MT && var13 == CHAUFO && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E2 && var3 == MT && var13 == CHAUFO && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E2 && var3 == MT && var13 == CHAUFO && var94 == EU96 && var98 == CPTECO ) || ( var2 == E2 && var3 == MT && var13 == CHAUFO && var94 == EU96 && var98 == AZE ) || ( var2 == E2 && var3 == MT && var13 == CHAUFO && var94 == EU96 && var98 == Autre913 ) || ( var2 == E2 && var3 == MT && var13 == CA && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E2 && var3 == MT && var13 == CA && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E2 && var3 == MT && var13 == CA && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E2 && var3 == MU && var13 == CHAUFO && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E2 && var3 == MU && var13 == CHAUFO && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E2 && var3 == MU && var13 == CHAUFO && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E2 && var3 == MU && var13 == CHAUFO && var94 == EU93 && var98 == CPTECO ) || ( var2 == E2 && var3 == MU && var13 == CHAUFO && var94 == EU93 && var98 == AZE ) || ( var2 == E2 && var3 == MU && var13 == CHAUFO && var94 == EU93 && var98 == Autre913 ) || ( var2 == E2 && var3 == MU && var13 == CA && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E2 && var3 == MU && var13 == CA && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E2 && var3 == MU && var13 == CA && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E2 && var3 == MU && var13 == CA && var94 == EU93 && var98 == CPTECO ) || ( var2 == E2 && var3 == MU && var13 == CA && var94 == EU93 && var98 == AZE ) || ( var2 == E2 && var3 == MU && var13 == CA && var94 == EU93 && var98 == Autre913 ) || ( var2 == E2 && var3 == MN && var13 == CHAUFO && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E2 && var3 == MN && var13 == CHAUFO && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E2 && var3 == MN && var13 == CHAUFO && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E2 && var3 == MN && var13 == CHAUFO && var94 == EU96 && var98 == CPTECO ) || ( var2 == E2 && var3 == MN && var13 == CHAUFO && var94 == EU96 && var98 == AZE ) || ( var2 == E2 && var3 == MN && var13 == CHAUFO && var94 == EU96 && var98 == Autre913 ) || ( var2 == E2 && var3 == MN && var13 == CA && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E2 && var3 == MN && var13 == CA && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E2 && var3 == MN && var13 == CA && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E2 && var3 == MN && var13 == CA && var94 == EU96 && var98 == CPTECO ) || ( var2 == E2 && var3 == MN && var13 == CA && var94 == EU96 && var98 == AZE ) || ( var2 == E2 && var3 == MN && var13 == CA && var94 == EU96 && var98 == Autre913 ) || ( var2 == E2 && var3 == MH && var13 == CHAUFO && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E2 && var3 == MH && var13 == CHAUFO && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E2 && var3 == MH && var13 == CHAUFO && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E2 && var3 == MH && var13 == CHAUFO && var94 == EU96 && var98 == CPTECO ) || ( var2 == E2 && var3 == MH && var13 == CHAUFO && var94 == EU96 && var98 == AZE ) || ( var2 == E2 && var3 == MH && var13 == CHAUFO && var94 == EU96 && var98 == Autre913 ) || ( var2 == E2 && var3 == MH && var13 == CA && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E2 && var3 == MH && var13 == CA && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E2 && var3 == MH && var13 == CA && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E2 && var3 == MH && var13 == CA && var94 == EU96 && var98 == CPTECO ) || ( var2 == E2 && var3 == MH && var13 == CA && var94 == EU96 && var98 == AZE ) || ( var2 == E2 && var3 == MH && var13 == CA && var94 == EU96 && var98 == Autre913 ) || ( var2 == E2 && var3 == MG && var13 == CHAUFO && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E2 && var3 == MG && var13 == CHAUFO && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E2 && var3 == MG && var13 == CHAUFO && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E2 && var3 == MG && var13 == CHAUFO && var94 == EU96 && var98 == CPTECO ) || ( var2 == E2 && var3 == MG && var13 == CHAUFO && var94 == EU96 && var98 == AZE ) || ( var2 == E2 && var3 == MG && var13 == CHAUFO && var94 == EU96 && var98 == Autre913 ) || ( var2 == E2 && var3 == MG && var13 == CA && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E2 && var3 == MG && var13 == CA && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E2 && var3 == MG && var13 == CA && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E2 && var3 == MG && var13 == CA && var94 == EU96 && var98 == CPTECO ) || ( var2 == E2 && var3 == MG && var13 == CA && var94 == EU96 && var98 == AZE ) || ( var2 == E2 && var3 == MG && var13 == CA && var94 == EU96 && var98 == Autre913 ) || ( var2 == E2 && var3 == MY && var13 == CHAUFO && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E2 && var3 == MY && var13 == CHAUFO && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E2 && var3 == MY && var13 == CHAUFO && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E2 && var3 == MY && var13 == CHAUFO && var94 == EU96 && var98 == CPTECO ) || ( var2 == E2 && var3 == MY && var13 == CHAUFO && var94 == EU96 && var98 == Autre913 ) || ( var2 == E2 && var3 == MY && var13 == CA && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E2 && var3 == MY && var13 == CA && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E2 && var3 == MY && var13 == CA && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E2 && var3 == MY && var13 == CA && var94 == EU96 && var98 == CPTECO ) || ( var2 == E2 && var3 == MY && var13 == CA && var94 == EU96 && var98 == AZE ) || ( var2 == E2 && var3 == MY && var13 == CA && var94 == EU96 && var98 == Autre913 ) || ( var2 == E2 && var3 == NM2K && var13 == CHAUFO && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E2 && var3 == NM2K && var13 == CHAUFO && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E2 && var3 == NM2K && var13 == CHAUFO && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E2 && var3 == NM2K && var13 == CHAUFO && var94 == EU00 && var98 == CPTECO ) || ( var2 == E2 && var3 == NM2K && var13 == CHAUFO && var94 == EU00 && var98 == AZE ) || ( var2 == E2 && var3 == NM2K && var13 == CHAUFO && var94 == EU00 && var98 == Autre913 ) || ( var2 == E2 && var3 == NM2K && var13 == CA && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E2 && var3 == NM2K && var13 == CA && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E2 && var3 == NM2K && var13 == CA && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E2 && var3 == NM2K && var13 == CA && var94 == EU00 && var98 == CPTECO ) || ( var2 == E2 && var3 == NM2K && var13 == CA && var94 == EU00 && var98 == AZE ) || ( var2 == E2 && var3 == NM2K && var13 == CA && var94 == EU00 && var98 == Autre913 ) || ( var2 == E2 && var3 == NM0C && var13 == CHAUFO && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E2 && var3 == NM0C && var13 == CHAUFO && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E2 && var3 == NM0C && var13 == CHAUFO && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E2 && var3 == NM0C && var13 == CHAUFO && var94 == EU00 && var98 == CPTECO ) || ( var2 == E2 && var3 == NM0C && var13 == CHAUFO && var94 == EU00 && var98 == AZE ) || ( var2 == E2 && var3 == NM0C && var13 == CHAUFO && var94 == EU00 && var98 == Autre913 ) || ( var2 == E2 && var3 == NM0C && var13 == CA && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E2 && var3 == NM0C && var13 == CA && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E2 && var3 == NM0C && var13 == CA && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E2 && var3 == NM0C && var13 == CA && var94 == EU00 && var98 == CPTECO ) || ( var2 == E2 && var3 == NM0C && var13 == CA && var94 == EU00 && var98 == AZE ) || ( var2 == E2 && var3 == NM0C && var13 == CA && var94 == EU00 && var98 == Autre913 ) || ( var2 == E2 && var3 == ND1G && var13 == CHAUFO && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E2 && var3 == ND1G && var13 == CHAUFO && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E2 && var3 == ND1G && var13 == CHAUFO && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E2 && var3 == ND1G && var13 == CHAUFO && var94 == EU00 && var98 == CPTECO ) || ( var2 == E2 && var3 == ND1G && var13 == CHAUFO && var94 == EU00 && var98 == AZE ) || ( var2 == E2 && var3 == ND1G && var13 == CHAUFO && var94 == EU00 && var98 == Autre913 ) || ( var2 == E2 && var3 == ND1G && var13 == CA && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E2 && var3 == ND1G && var13 == CA && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E2 && var3 == ND1G && var13 == CA && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E2 && var3 == ND1G && var13 == CA && var94 == EU00 && var98 == CPTECO ) || ( var2 == E2 && var3 == ND1G && var13 == CA && var94 == EU00 && var98 == AZE ) || ( var2 == E2 && var3 == ND1G && var13 == CA && var94 == EU00 && var98 == Autre913 ) || ( var2 == E3 && var3 == M5 && var13 == CHAUFO && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E3 && var3 == M5 && var13 == CHAUFO && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E3 && var3 == M5 && var13 == CHAUFO && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E3 && var3 == M5 && var13 == CHAUFO && var94 == EU00 && var98 == CPTECO ) || ( var2 == E3 && var3 == M5 && var13 == CHAUFO && var94 == EU00 && var98 == AZE ) || ( var2 == E3 && var3 == M5 && var13 == CHAUFO && var94 == EU00 && var98 == Autre913 ) || ( var2 == E3 && var3 == M5 && var13 == CA && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E3 && var3 == M5 && var13 == CA && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E3 && var3 == M5 && var13 == CA && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E3 && var3 == M5 && var13 == CA && var94 == EU00 && var98 == CPTECO ) || ( var2 == E3 && var3 == M5 && var13 == CA && var94 == EU00 && var98 == AZE ) || ( var2 == E3 && var3 == M5 && var13 == CA && var94 == EU00 && var98 == Autre913 ) || ( var2 == E3 && var3 == M6 && var13 == CHAUFO && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E3 && var3 == M6 && var13 == CHAUFO && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E3 && var3 == M6 && var13 == CHAUFO && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E3 && var3 == M6 && var13 == CHAUFO && var94 == EU93 && var98 == CPTECO ) || ( var2 == E3 && var3 == M6 && var13 == CHAUFO && var94 == EU93 && var98 == AZE ) || ( var2 == E3 && var3 == M6 && var13 == CHAUFO && var94 == EU93 && var98 == Autre913 ) || ( var2 == E3 && var3 == M6 && var13 == CA && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E3 && var3 == M6 && var13 == CA && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E3 && var3 == M6 && var13 == CA && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E3 && var3 == M6 && var13 == CA && var94 == EU93 && var98 == CPTECO ) || ( var2 == E3 && var3 == M6 && var13 == CA && var94 == EU93 && var98 == AZE ) || ( var2 == E3 && var3 == M6 && var13 == CA && var94 == EU93 && var98 == Autre913 ) || ( var2 == E3 && var3 == M7 && var13 == CHAUFO && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E3 && var3 == M7 && var13 == CHAUFO && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E3 && var3 == M7 && var13 == CHAUFO && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E3 && var3 == M7 && var13 == CA && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E3 && var3 == M7 && var13 == CA && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E3 && var3 == M7 && var13 == CA && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E3 && var3 == M8 && var13 == CHAUFO && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E3 && var3 == M8 && var13 == CHAUFO && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E3 && var3 == M8 && var13 == CHAUFO && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E3 && var3 == M8 && var13 == CHAUFO && var94 == EU96 && var98 == CPTECO ) || ( var2 == E3 && var3 == M8 && var13 == CHAUFO && var94 == EU96 && var98 == AZE ) || ( var2 == E3 && var3 == M8 && var13 == CHAUFO && var94 == EU96 && var98 == Autre913 ) || ( var2 == E3 && var3 == M8 && var13 == CA && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E3 && var3 == M8 && var13 == CA && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E3 && var3 == M8 && var13 == CA && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E3 && var3 == M8 && var13 == CA && var94 == EU96 && var98 == CPTECO ) || ( var2 == E3 && var3 == M8 && var13 == CA && var94 == EU96 && var98 == AZE ) || ( var2 == E3 && var3 == M8 && var13 == CA && var94 == EU96 && var98 == Autre913 ) || ( var2 == E3 && var3 == M9 && var13 == CHAUFO && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E3 && var3 == M9 && var13 == CHAUFO && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E3 && var3 == M9 && var13 == CHAUFO && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E3 && var3 == M9 && var13 == CHAUFO && var94 == EU93 && var98 == CPTECO ) || ( var2 == E3 && var3 == M9 && var13 == CHAUFO && var94 == EU93 && var98 == AZE ) || ( var2 == E3 && var3 == M9 && var13 == CHAUFO && var94 == EU93 && var98 == Autre913 ) || ( var2 == E3 && var3 == M9 && var13 == CA && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E3 && var3 == M9 && var13 == CA && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E3 && var3 == M9 && var13 == CA && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E3 && var3 == M9 && var13 == CA && var94 == EU93 && var98 == CPTECO ) || ( var2 == E3 && var3 == M9 && var13 == CA && var94 == EU93 && var98 == AZE ) || ( var2 == E3 && var3 == M9 && var13 == CA && var94 == EU93 && var98 == Autre913 ) || ( var2 == E3 && var3 == MA && var13 == CHAUFO && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E3 && var3 == MA && var13 == CHAUFO && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E3 && var3 == MA && var13 == CHAUFO && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E3 && var3 == MA && var13 == CHAUFO && var94 == EU96 && var98 == CPTECO ) || ( var2 == E3 && var3 == MA && var13 == CHAUFO && var94 == EU96 && var98 == Autre913 ) || ( var2 == E3 && var3 == MA && var13 == CA && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E3 && var3 == MA && var13 == CA && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E3 && var3 == MA && var13 == CA && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E3 && var3 == MA && var13 == CA && var94 == EU96 && var98 == CPTECO ) || ( var2 == E3 && var3 == MA && var13 == CA && var94 == EU96 && var98 == AZE ) || ( var2 == E3 && var3 == MA && var13 == CA && var94 == EU96 && var98 == Autre913 ) || ( var2 == E3 && var3 == MB && var13 == CHAUFO && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E3 && var3 == MB && var13 == CHAUFO && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E3 && var3 == MB && var13 == CHAUFO && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E3 && var3 == MB && var13 == CHAUFO && var94 == EU00 && var98 == CPTECO ) || ( var2 == E3 && var3 == MB && var13 == CHAUFO && var94 == EU00 && var98 == AZE ) || ( var2 == E3 && var3 == MB && var13 == CHAUFO && var94 == EU00 && var98 == Autre913 ) || ( var2 == E3 && var3 == MB && var13 == CA && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E3 && var3 == MB && var13 == CA && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E3 && var3 == MB && var13 == CA && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E3 && var3 == MB && var13 == CA && var94 == EU00 && var98 == CPTECO ) || ( var2 == E3 && var3 == MB && var13 == CA && var94 == EU00 && var98 == AZE ) || ( var2 == E3 && var3 == MB && var13 == CA && var94 == EU00 && var98 == Autre913 ) || ( var2 == E3 && var3 == MC && var13 == CHAUFO && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E3 && var3 == MC && var13 == CHAUFO && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E3 && var3 == MC && var13 == CHAUFO && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E3 && var3 == MC && var13 == CHAUFO && var94 == EU00 && var98 == CPTECO ) || ( var2 == E3 && var3 == MC && var13 == CHAUFO && var94 == EU00 && var98 == AZE ) || ( var2 == E3 && var3 == MC && var13 == CHAUFO && var94 == EU00 && var98 == Autre913 ) || ( var2 == E3 && var3 == MC && var13 == CA && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E3 && var3 == MC && var13 == CA && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E3 && var3 == MC && var13 == CA && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E3 && var3 == MC && var13 == CA && var94 == EU00 && var98 == CPTECO ) || ( var2 == E3 && var3 == MC && var13 == CA && var94 == EU00 && var98 == AZE ) || ( var2 == E3 && var3 == MC && var13 == CA && var94 == EU00 && var98 == Autre913 ) || ( var2 == E3 && var3 == MD && var13 == CHAUFO && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E3 && var3 == MD && var13 == CHAUFO && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E3 && var3 == MD && var13 == CHAUFO && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E3 && var3 == MD && var13 == CHAUFO && var94 == EU00 && var98 == CPTECO ) || ( var2 == E3 && var3 == MD && var13 == CHAUFO && var94 == EU00 && var98 == AZE ) || ( var2 == E3 && var3 == MD && var13 == CHAUFO && var94 == EU00 && var98 == Autre913 ) || ( var2 == E3 && var3 == MD && var13 == CA && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E3 && var3 == MD && var13 == CA && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E3 && var3 == MD && var13 == CA && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E3 && var3 == MD && var13 == CA && var94 == EU00 && var98 == CPTECO ) || ( var2 == E3 && var3 == MD && var13 == CA && var94 == EU00 && var98 == AZE ) || ( var2 == E3 && var3 == MD && var13 == CA && var94 == EU00 && var98 == Autre913 ) || ( var2 == E3 && var3 == ME && var13 == CHAUFO && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E3 && var3 == ME && var13 == CHAUFO && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E3 && var3 == ME && var13 == CHAUFO && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E3 && var3 == ME && var13 == CHAUFO && var94 == EU96 && var98 == CPTECO ) || ( var2 == E3 && var3 == ME && var13 == CHAUFO && var94 == EU96 && var98 == AZE ) || ( var2 == E3 && var3 == ME && var13 == CHAUFO && var94 == EU96 && var98 == Autre913 ) || ( var2 == E3 && var3 == ME && var13 == CA && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E3 && var3 == ME && var13 == CA && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E3 && var3 == ME && var13 == CA && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E3 && var3 == ME && var13 == CA && var94 == EU96 && var98 == CPTECO ) || ( var2 == E3 && var3 == ME && var13 == CA && var94 == EU96 && var98 == AZE ) || ( var2 == E3 && var3 == ME && var13 == CA && var94 == EU96 && var98 == Autre913 ) || ( var2 == E3 && var3 == MF && var13 == CHAUFO && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E3 && var3 == MF && var13 == CHAUFO && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E3 && var3 == MF && var13 == CHAUFO && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E3 && var3 == MF && var13 == CHAUFO && var94 == EU96 && var98 == CPTECO ) || ( var2 == E3 && var3 == MF && var13 == CHAUFO && var94 == EU96 && var98 == Autre913 ) || ( var2 == E3 && var3 == MF && var13 == CA && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E3 && var3 == MF && var13 == CA && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E3 && var3 == MF && var13 == CA && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E3 && var3 == MF && var13 == CA && var94 == EU96 && var98 == CPTECO ) || ( var2 == E3 && var3 == MF && var13 == CA && var94 == EU96 && var98 == AZE ) || ( var2 == E3 && var3 == MF && var13 == CA && var94 == EU96 && var98 == Autre913 ) || ( var2 == E3 && var3 == MJ && var13 == CHAUFO && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E3 && var3 == MJ && var13 == CHAUFO && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E3 && var3 == MJ && var13 == CHAUFO && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E3 && var3 == MJ && var13 == CHAUFO && var94 == EU96 && var98 == CPTECO ) || ( var2 == E3 && var3 == MJ && var13 == CHAUFO && var94 == EU96 && var98 == AZE ) || ( var2 == E3 && var3 == MJ && var13 == CHAUFO && var94 == EU96 && var98 == Autre913 ) || ( var2 == E3 && var3 == MJ && var13 == CA && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E3 && var3 == MJ && var13 == CA && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E3 && var3 == MJ && var13 == CA && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E3 && var3 == MJ && var13 == CA && var94 == EU96 && var98 == CPTECO ) || ( var2 == E3 && var3 == MJ && var13 == CA && var94 == EU96 && var98 == AZE ) || ( var2 == E3 && var3 == MJ && var13 == CA && var94 == EU96 && var98 == Autre913 ) || ( var2 == E3 && var3 == MK && var13 == CHAUFO && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E3 && var3 == MK && var13 == CHAUFO && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E3 && var3 == MK && var13 == CHAUFO && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E3 && var3 == MK && var13 == CHAUFO && var94 == EU96 && var98 == CPTECO ) || ( var2 == E3 && var3 == MK && var13 == CHAUFO && var94 == EU96 && var98 == AZE ) || ( var2 == E3 && var3 == MK && var13 == CHAUFO && var94 == EU96 && var98 == Autre913 ) || ( var2 == E3 && var3 == MK && var13 == CA && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E3 && var3 == MK && var13 == CA && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E3 && var3 == MK && var13 == CA && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E3 && var3 == MK && var13 == CA && var94 == EU96 && var98 == CPTECO ) || ( var2 == E3 && var3 == MK && var13 == CA && var94 == EU96 && var98 == AZE ) || ( var2 == E3 && var3 == MK && var13 == CA && var94 == EU96 && var98 == Autre913 ) || ( var2 == E3 && var3 == ML && var13 == CHAUFO && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E3 && var3 == ML && var13 == CHAUFO && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E3 && var3 == ML && var13 == CHAUFO && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E3 && var3 == ML && var13 == CHAUFO && var94 == EU96 && var98 == CPTECO ) || ( var2 == E3 && var3 == ML && var13 == CHAUFO && var94 == EU96 && var98 == AZE ) || ( var2 == E3 && var3 == ML && var13 == CHAUFO && var94 == EU96 && var98 == Autre913 ) || ( var2 == E3 && var3 == ML && var13 == CA && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E3 && var3 == ML && var13 == CA && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E3 && var3 == ML && var13 == CA && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E3 && var3 == ML && var13 == CA && var94 == EU96 && var98 == CPTECO ) || ( var2 == E3 && var3 == ML && var13 == CA && var94 == EU96 && var98 == AZE ) || ( var2 == E3 && var3 == ML && var13 == CA && var94 == EU96 && var98 == Autre913 ) || ( var2 == E3 && var3 == MM && var13 == CHAUFO && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E3 && var3 == MM && var13 == CHAUFO && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E3 && var3 == MM && var13 == CHAUFO && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E3 && var3 == MM && var13 == CHAUFO && var94 == EU00 && var98 == CPTECO ) || ( var2 == E3 && var3 == MM && var13 == CHAUFO && var94 == EU00 && var98 == AZE ) || ( var2 == E3 && var3 == MM && var13 == CHAUFO && var94 == EU00 && var98 == Autre913 ) || ( var2 == E3 && var3 == MM && var13 == CA && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E3 && var3 == MM && var13 == CA && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E3 && var3 == MM && var13 == CA && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E3 && var3 == MM && var13 == CA && var94 == EU00 && var98 == CPTECO ) || ( var2 == E3 && var3 == MM && var13 == CA && var94 == EU00 && var98 == AZE ) || ( var2 == E3 && var3 == MM && var13 == CA && var94 == EU00 && var98 == Autre913 ) || ( var2 == E3 && var3 == MS && var13 == CHAUFO && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E3 && var3 == MS && var13 == CHAUFO && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E3 && var3 == MS && var13 == CHAUFO && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E3 && var3 == MS && var13 == CA && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E3 && var3 == MS && var13 == CA && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E3 && var3 == MS && var13 == CA && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E3 && var3 == MT && var13 == CHAUFO && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E3 && var3 == MT && var13 == CHAUFO && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E3 && var3 == MT && var13 == CHAUFO && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E3 && var3 == MT && var13 == CHAUFO && var94 == EU96 && var98 == CPTECO ) || ( var2 == E3 && var3 == MT && var13 == CHAUFO && var94 == EU96 && var98 == AZE ) || ( var2 == E3 && var3 == MT && var13 == CHAUFO && var94 == EU96 && var98 == Autre913 ) || ( var2 == E3 && var3 == MT && var13 == CA && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E3 && var3 == MT && var13 == CA && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E3 && var3 == MT && var13 == CA && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E3 && var3 == MU && var13 == CHAUFO && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E3 && var3 == MU && var13 == CHAUFO && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E3 && var3 == MU && var13 == CHAUFO && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E3 && var3 == MU && var13 == CHAUFO && var94 == EU93 && var98 == CPTECO ) || ( var2 == E3 && var3 == MU && var13 == CHAUFO && var94 == EU93 && var98 == AZE ) || ( var2 == E3 && var3 == MU && var13 == CHAUFO && var94 == EU93 && var98 == Autre913 ) || ( var2 == E3 && var3 == MU && var13 == CA && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E3 && var3 == MU && var13 == CA && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E3 && var3 == MU && var13 == CA && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E3 && var3 == MU && var13 == CA && var94 == EU93 && var98 == CPTECO ) || ( var2 == E3 && var3 == MU && var13 == CA && var94 == EU93 && var98 == AZE ) || ( var2 == E3 && var3 == MU && var13 == CA && var94 == EU93 && var98 == Autre913 ) || ( var2 == E3 && var3 == MN && var13 == CHAUFO && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E3 && var3 == MN && var13 == CHAUFO && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E3 && var3 == MN && var13 == CHAUFO && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E3 && var3 == MN && var13 == CHAUFO && var94 == EU96 && var98 == CPTECO ) || ( var2 == E3 && var3 == MN && var13 == CHAUFO && var94 == EU96 && var98 == AZE ) || ( var2 == E3 && var3 == MN && var13 == CHAUFO && var94 == EU96 && var98 == Autre913 ) || ( var2 == E3 && var3 == MN && var13 == CA && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E3 && var3 == MN && var13 == CA && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E3 && var3 == MN && var13 == CA && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E3 && var3 == MN && var13 == CA && var94 == EU96 && var98 == CPTECO ) || ( var2 == E3 && var3 == MN && var13 == CA && var94 == EU96 && var98 == AZE ) || ( var2 == E3 && var3 == MN && var13 == CA && var94 == EU96 && var98 == Autre913 ) || ( var2 == E3 && var3 == MH && var13 == CHAUFO && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E3 && var3 == MH && var13 == CHAUFO && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E3 && var3 == MH && var13 == CHAUFO && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E3 && var3 == MH && var13 == CHAUFO && var94 == EU96 && var98 == CPTECO ) || ( var2 == E3 && var3 == MH && var13 == CHAUFO && var94 == EU96 && var98 == AZE ) || ( var2 == E3 && var3 == MH && var13 == CHAUFO && var94 == EU96 && var98 == Autre913 ) || ( var2 == E3 && var3 == MH && var13 == CA && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E3 && var3 == MH && var13 == CA && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E3 && var3 == MH && var13 == CA && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E3 && var3 == MH && var13 == CA && var94 == EU96 && var98 == CPTECO ) || ( var2 == E3 && var3 == MH && var13 == CA && var94 == EU96 && var98 == AZE ) || ( var2 == E3 && var3 == MH && var13 == CA && var94 == EU96 && var98 == Autre913 ) || ( var2 == E3 && var3 == MG && var13 == CHAUFO && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E3 && var3 == MG && var13 == CHAUFO && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E3 && var3 == MG && var13 == CHAUFO && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E3 && var3 == MG && var13 == CHAUFO && var94 == EU96 && var98 == CPTECO ) || ( var2 == E3 && var3 == MG && var13 == CHAUFO && var94 == EU96 && var98 == AZE ) || ( var2 == E3 && var3 == MG && var13 == CHAUFO && var94 == EU96 && var98 == Autre913 ) || ( var2 == E3 && var3 == MG && var13 == CA && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E3 && var3 == MG && var13 == CA && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E3 && var3 == MG && var13 == CA && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E3 && var3 == MG && var13 == CA && var94 == EU96 && var98 == CPTECO ) || ( var2 == E3 && var3 == MG && var13 == CA && var94 == EU96 && var98 == AZE ) || ( var2 == E3 && var3 == MG && var13 == CA && var94 == EU96 && var98 == Autre913 ) || ( var2 == E3 && var3 == MY && var13 == CHAUFO && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E3 && var3 == MY && var13 == CHAUFO && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E3 && var3 == MY && var13 == CHAUFO && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E3 && var3 == MY && var13 == CHAUFO && var94 == EU96 && var98 == CPTECO ) || ( var2 == E3 && var3 == MY && var13 == CHAUFO && var94 == EU96 && var98 == Autre913 ) || ( var2 == E3 && var3 == MY && var13 == CA && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E3 && var3 == MY && var13 == CA && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E3 && var3 == MY && var13 == CA && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E3 && var3 == MY && var13 == CA && var94 == EU96 && var98 == CPTECO ) || ( var2 == E3 && var3 == MY && var13 == CA && var94 == EU96 && var98 == AZE ) || ( var2 == E3 && var3 == MY && var13 == CA && var94 == EU96 && var98 == Autre913 ) || ( var2 == E3 && var3 == NM2K && var13 == CHAUFO && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E3 && var3 == NM2K && var13 == CHAUFO && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E3 && var3 == NM2K && var13 == CHAUFO && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E3 && var3 == NM2K && var13 == CHAUFO && var94 == EU00 && var98 == CPTECO ) || ( var2 == E3 && var3 == NM2K && var13 == CHAUFO && var94 == EU00 && var98 == AZE ) || ( var2 == E3 && var3 == NM2K && var13 == CHAUFO && var94 == EU00 && var98 == Autre913 ) || ( var2 == E3 && var3 == NM2K && var13 == CA && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E3 && var3 == NM2K && var13 == CA && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E3 && var3 == NM2K && var13 == CA && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E3 && var3 == NM2K && var13 == CA && var94 == EU00 && var98 == CPTECO ) || ( var2 == E3 && var3 == NM2K && var13 == CA && var94 == EU00 && var98 == AZE ) || ( var2 == E3 && var3 == NM2K && var13 == CA && var94 == EU00 && var98 == Autre913 ) || ( var2 == E3 && var3 == NM0C && var13 == CHAUFO && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E3 && var3 == NM0C && var13 == CHAUFO && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E3 && var3 == NM0C && var13 == CHAUFO && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E3 && var3 == NM0C && var13 == CHAUFO && var94 == EU00 && var98 == CPTECO ) || ( var2 == E3 && var3 == NM0C && var13 == CHAUFO && var94 == EU00 && var98 == AZE ) || ( var2 == E3 && var3 == NM0C && var13 == CHAUFO && var94 == EU00 && var98 == Autre913 ) || ( var2 == E3 && var3 == NM0C && var13 == CA && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E3 && var3 == NM0C && var13 == CA && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E3 && var3 == NM0C && var13 == CA && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E3 && var3 == NM0C && var13 == CA && var94 == EU00 && var98 == CPTECO ) || ( var2 == E3 && var3 == NM0C && var13 == CA && var94 == EU00 && var98 == AZE ) || ( var2 == E3 && var3 == NM0C && var13 == CA && var94 == EU00 && var98 == Autre913 ) || ( var2 == E3 && var3 == ND1G && var13 == CHAUFO && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E3 && var3 == ND1G && var13 == CHAUFO && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E3 && var3 == ND1G && var13 == CHAUFO && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E3 && var3 == ND1G && var13 == CHAUFO && var94 == EU00 && var98 == CPTECO ) || ( var2 == E3 && var3 == ND1G && var13 == CHAUFO && var94 == EU00 && var98 == AZE ) || ( var2 == E3 && var3 == ND1G && var13 == CHAUFO && var94 == EU00 && var98 == Autre913 ) || ( var2 == E3 && var3 == ND1G && var13 == CA && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E3 && var3 == ND1G && var13 == CA && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E3 && var3 == ND1G && var13 == CA && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E3 && var3 == ND1G && var13 == CA && var94 == EU00 && var98 == CPTECO ) || ( var2 == E3 && var3 == ND1G && var13 == CA && var94 == EU00 && var98 == AZE ) || ( var2 == E3 && var3 == ND1G && var13 == CA && var94 == EU00 && var98 == Autre913 ) || ( var2 == E5 && var3 == M5 && var13 == CHAUFO && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E5 && var3 == M5 && var13 == CHAUFO && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E5 && var3 == M5 && var13 == CHAUFO && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E5 && var3 == M5 && var13 == CHAUFO && var94 == EU00 && var98 == CPTECO ) || ( var2 == E5 && var3 == M5 && var13 == CHAUFO && var94 == EU00 && var98 == AZE ) || ( var2 == E5 && var3 == M5 && var13 == CHAUFO && var94 == EU00 && var98 == Autre913 ) || ( var2 == E5 && var3 == M5 && var13 == CA && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E5 && var3 == M5 && var13 == CA && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E5 && var3 == M5 && var13 == CA && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E5 && var3 == M5 && var13 == CA && var94 == EU00 && var98 == CPTECO ) || ( var2 == E5 && var3 == M5 && var13 == CA && var94 == EU00 && var98 == AZE ) || ( var2 == E5 && var3 == M5 && var13 == CA && var94 == EU00 && var98 == Autre913 ) || ( var2 == E5 && var3 == M6 && var13 == CHAUFO && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E5 && var3 == M6 && var13 == CHAUFO && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E5 && var3 == M6 && var13 == CHAUFO && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E5 && var3 == M6 && var13 == CHAUFO && var94 == EU93 && var98 == CPTECO ) || ( var2 == E5 && var3 == M6 && var13 == CHAUFO && var94 == EU93 && var98 == AZE ) || ( var2 == E5 && var3 == M6 && var13 == CHAUFO && var94 == EU93 && var98 == Autre913 ) || ( var2 == E5 && var3 == M6 && var13 == CA && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E5 && var3 == M6 && var13 == CA && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E5 && var3 == M6 && var13 == CA && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E5 && var3 == M6 && var13 == CA && var94 == EU93 && var98 == CPTECO ) || ( var2 == E5 && var3 == M6 && var13 == CA && var94 == EU93 && var98 == AZE ) || ( var2 == E5 && var3 == M6 && var13 == CA && var94 == EU93 && var98 == Autre913 ) || ( var2 == E5 && var3 == M7 && var13 == CHAUFO && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E5 && var3 == M7 && var13 == CHAUFO && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E5 && var3 == M7 && var13 == CHAUFO && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E5 && var3 == M7 && var13 == CA && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E5 && var3 == M7 && var13 == CA && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E5 && var3 == M7 && var13 == CA && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E5 && var3 == M8 && var13 == CHAUFO && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E5 && var3 == M8 && var13 == CHAUFO && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E5 && var3 == M8 && var13 == CHAUFO && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E5 && var3 == M8 && var13 == CA && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E5 && var3 == M8 && var13 == CA && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E5 && var3 == M8 && var13 == CA && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E5 && var3 == M8 && var13 == CA && var94 == EU96 && var98 == CPTECO ) || ( var2 == E5 && var3 == M8 && var13 == CA && var94 == EU96 && var98 == AZE ) || ( var2 == E5 && var3 == M8 && var13 == CA && var94 == EU96 && var98 == Autre913 ) || ( var2 == E5 && var3 == M9 && var13 == CHAUFO && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E5 && var3 == M9 && var13 == CHAUFO && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E5 && var3 == M9 && var13 == CHAUFO && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E5 && var3 == M9 && var13 == CHAUFO && var94 == EU93 && var98 == CPTECO ) || ( var2 == E5 && var3 == M9 && var13 == CHAUFO && var94 == EU93 && var98 == AZE ) || ( var2 == E5 && var3 == M9 && var13 == CHAUFO && var94 == EU93 && var98 == Autre913 ) || ( var2 == E5 && var3 == M9 && var13 == CA && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E5 && var3 == M9 && var13 == CA && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E5 && var3 == M9 && var13 == CA && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E5 && var3 == M9 && var13 == CA && var94 == EU93 && var98 == CPTECO ) || ( var2 == E5 && var3 == M9 && var13 == CA && var94 == EU93 && var98 == AZE ) || ( var2 == E5 && var3 == M9 && var13 == CA && var94 == EU93 && var98 == Autre913 ) || ( var2 == E5 && var3 == MA && var13 == CHAUFO && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E5 && var3 == MA && var13 == CHAUFO && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E5 && var3 == MA && var13 == CHAUFO && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E5 && var3 == MA && var13 == CHAUFO && var94 == EU96 && var98 == CPTECO ) || ( var2 == E5 && var3 == MA && var13 == CHAUFO && var94 == EU96 && var98 == Autre913 ) || ( var2 == E5 && var3 == MA && var13 == CA && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E5 && var3 == MA && var13 == CA && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E5 && var3 == MA && var13 == CA && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E5 && var3 == MA && var13 == CA && var94 == EU96 && var98 == CPTECO ) || ( var2 == E5 && var3 == MA && var13 == CA && var94 == EU96 && var98 == AZE ) || ( var2 == E5 && var3 == MA && var13 == CA && var94 == EU96 && var98 == Autre913 ) || ( var2 == E5 && var3 == MB && var13 == CHAUFO && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E5 && var3 == MB && var13 == CHAUFO && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E5 && var3 == MB && var13 == CHAUFO && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E5 && var3 == MB && var13 == CHAUFO && var94 == EU00 && var98 == CPTECO ) || ( var2 == E5 && var3 == MB && var13 == CHAUFO && var94 == EU00 && var98 == AZE ) || ( var2 == E5 && var3 == MB && var13 == CHAUFO && var94 == EU00 && var98 == Autre913 ) || ( var2 == E5 && var3 == MB && var13 == CA && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E5 && var3 == MB && var13 == CA && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E5 && var3 == MB && var13 == CA && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E5 && var3 == MB && var13 == CA && var94 == EU00 && var98 == CPTECO ) || ( var2 == E5 && var3 == MB && var13 == CA && var94 == EU00 && var98 == AZE ) || ( var2 == E5 && var3 == MB && var13 == CA && var94 == EU00 && var98 == Autre913 ) || ( var2 == E5 && var3 == MC && var13 == CHAUFO && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E5 && var3 == MC && var13 == CHAUFO && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E5 && var3 == MC && var13 == CHAUFO && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E5 && var3 == MC && var13 == CHAUFO && var94 == EU00 && var98 == CPTECO ) || ( var2 == E5 && var3 == MC && var13 == CHAUFO && var94 == EU00 && var98 == AZE ) || ( var2 == E5 && var3 == MC && var13 == CHAUFO && var94 == EU00 && var98 == Autre913 ) || ( var2 == E5 && var3 == MC && var13 == CA && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E5 && var3 == MC && var13 == CA && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E5 && var3 == MC && var13 == CA && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E5 && var3 == MC && var13 == CA && var94 == EU00 && var98 == CPTECO ) || ( var2 == E5 && var3 == MC && var13 == CA && var94 == EU00 && var98 == AZE ) || ( var2 == E5 && var3 == MC && var13 == CA && var94 == EU00 && var98 == Autre913 ) || ( var2 == E5 && var3 == MD && var13 == CHAUFO && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E5 && var3 == MD && var13 == CHAUFO && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E5 && var3 == MD && var13 == CHAUFO && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E5 && var3 == MD && var13 == CHAUFO && var94 == EU00 && var98 == CPTECO ) || ( var2 == E5 && var3 == MD && var13 == CHAUFO && var94 == EU00 && var98 == AZE ) || ( var2 == E5 && var3 == MD && var13 == CHAUFO && var94 == EU00 && var98 == Autre913 ) || ( var2 == E5 && var3 == MD && var13 == CA && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E5 && var3 == MD && var13 == CA && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E5 && var3 == MD && var13 == CA && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E5 && var3 == MD && var13 == CA && var94 == EU00 && var98 == CPTECO ) || ( var2 == E5 && var3 == MD && var13 == CA && var94 == EU00 && var98 == AZE ) || ( var2 == E5 && var3 == MD && var13 == CA && var94 == EU00 && var98 == Autre913 ) || ( var2 == E5 && var3 == ME && var13 == CHAUFO && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E5 && var3 == ME && var13 == CHAUFO && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E5 && var3 == ME && var13 == CHAUFO && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E5 && var3 == ME && var13 == CHAUFO && var94 == EU96 && var98 == CPTECO ) || ( var2 == E5 && var3 == ME && var13 == CHAUFO && var94 == EU96 && var98 == AZE ) || ( var2 == E5 && var3 == ME && var13 == CHAUFO && var94 == EU96 && var98 == Autre913 ) || ( var2 == E5 && var3 == ME && var13 == CA && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E5 && var3 == ME && var13 == CA && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E5 && var3 == ME && var13 == CA && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E5 && var3 == ME && var13 == CA && var94 == EU96 && var98 == CPTECO ) || ( var2 == E5 && var3 == ME && var13 == CA && var94 == EU96 && var98 == AZE ) || ( var2 == E5 && var3 == ME && var13 == CA && var94 == EU96 && var98 == Autre913 ) || ( var2 == E5 && var3 == MF && var13 == CHAUFO && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E5 && var3 == MF && var13 == CHAUFO && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E5 && var3 == MF && var13 == CHAUFO && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E5 && var3 == MF && var13 == CHAUFO && var94 == EU96 && var98 == CPTECO ) || ( var2 == E5 && var3 == MF && var13 == CHAUFO && var94 == EU96 && var98 == Autre913 ) || ( var2 == E5 && var3 == MF && var13 == CA && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E5 && var3 == MF && var13 == CA && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E5 && var3 == MF && var13 == CA && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E5 && var3 == MF && var13 == CA && var94 == EU96 && var98 == CPTECO ) || ( var2 == E5 && var3 == MF && var13 == CA && var94 == EU96 && var98 == AZE ) || ( var2 == E5 && var3 == MF && var13 == CA && var94 == EU96 && var98 == Autre913 ) || ( var2 == E5 && var3 == MJ && var13 == CHAUFO && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E5 && var3 == MJ && var13 == CHAUFO && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E5 && var3 == MJ && var13 == CHAUFO && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E5 && var3 == MJ && var13 == CHAUFO && var94 == EU96 && var98 == CPTECO ) || ( var2 == E5 && var3 == MJ && var13 == CHAUFO && var94 == EU96 && var98 == AZE ) || ( var2 == E5 && var3 == MJ && var13 == CHAUFO && var94 == EU96 && var98 == Autre913 ) || ( var2 == E5 && var3 == MJ && var13 == CA && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E5 && var3 == MJ && var13 == CA && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E5 && var3 == MJ && var13 == CA && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E5 && var3 == MJ && var13 == CA && var94 == EU96 && var98 == CPTECO ) || ( var2 == E5 && var3 == MJ && var13 == CA && var94 == EU96 && var98 == AZE ) || ( var2 == E5 && var3 == MJ && var13 == CA && var94 == EU96 && var98 == Autre913 ) || ( var2 == E5 && var3 == MK && var13 == CHAUFO && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E5 && var3 == MK && var13 == CHAUFO && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E5 && var3 == MK && var13 == CHAUFO && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E5 && var3 == MK && var13 == CA && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E5 && var3 == MK && var13 == CA && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E5 && var3 == MK && var13 == CA && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E5 && var3 == MK && var13 == CA && var94 == EU96 && var98 == CPTECO ) || ( var2 == E5 && var3 == MK && var13 == CA && var94 == EU96 && var98 == AZE ) || ( var2 == E5 && var3 == MK && var13 == CA && var94 == EU96 && var98 == Autre913 ) || ( var2 == E5 && var3 == ML && var13 == CHAUFO && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E5 && var3 == ML && var13 == CHAUFO && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E5 && var3 == ML && var13 == CHAUFO && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E5 && var3 == ML && var13 == CHAUFO && var94 == EU96 && var98 == CPTECO ) || ( var2 == E5 && var3 == ML && var13 == CHAUFO && var94 == EU96 && var98 == AZE ) || ( var2 == E5 && var3 == ML && var13 == CHAUFO && var94 == EU96 && var98 == Autre913 ) || ( var2 == E5 && var3 == ML && var13 == CA && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E5 && var3 == ML && var13 == CA && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E5 && var3 == ML && var13 == CA && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E5 && var3 == ML && var13 == CA && var94 == EU96 && var98 == CPTECO ) || ( var2 == E5 && var3 == ML && var13 == CA && var94 == EU96 && var98 == AZE ) || ( var2 == E5 && var3 == ML && var13 == CA && var94 == EU96 && var98 == Autre913 ) || ( var2 == E5 && var3 == MM && var13 == CHAUFO && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E5 && var3 == MM && var13 == CHAUFO && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E5 && var3 == MM && var13 == CHAUFO && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E5 && var3 == MM && var13 == CHAUFO && var94 == EU00 && var98 == CPTECO ) || ( var2 == E5 && var3 == MM && var13 == CHAUFO && var94 == EU00 && var98 == AZE ) || ( var2 == E5 && var3 == MM && var13 == CHAUFO && var94 == EU00 && var98 == Autre913 ) || ( var2 == E5 && var3 == MM && var13 == CA && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E5 && var3 == MM && var13 == CA && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E5 && var3 == MM && var13 == CA && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E5 && var3 == MM && var13 == CA && var94 == EU00 && var98 == CPTECO ) || ( var2 == E5 && var3 == MM && var13 == CA && var94 == EU00 && var98 == AZE ) || ( var2 == E5 && var3 == MM && var13 == CA && var94 == EU00 && var98 == Autre913 ) || ( var2 == E5 && var3 == MS && var13 == CHAUFO && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E5 && var3 == MS && var13 == CHAUFO && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E5 && var3 == MS && var13 == CHAUFO && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E5 && var3 == MS && var13 == CA && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E5 && var3 == MS && var13 == CA && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E5 && var3 == MS && var13 == CA && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E5 && var3 == MT && var13 == CHAUFO && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E5 && var3 == MT && var13 == CHAUFO && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E5 && var3 == MT && var13 == CHAUFO && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E5 && var3 == MT && var13 == CHAUFO && var94 == EU96 && var98 == CPTECO ) || ( var2 == E5 && var3 == MT && var13 == CHAUFO && var94 == EU96 && var98 == AZE ) || ( var2 == E5 && var3 == MT && var13 == CHAUFO && var94 == EU96 && var98 == Autre913 ) || ( var2 == E5 && var3 == MT && var13 == CA && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E5 && var3 == MT && var13 == CA && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E5 && var3 == MT && var13 == CA && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E5 && var3 == MU && var13 == CHAUFO && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E5 && var3 == MU && var13 == CHAUFO && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E5 && var3 == MU && var13 == CHAUFO && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E5 && var3 == MU && var13 == CHAUFO && var94 == EU93 && var98 == CPTECO ) || ( var2 == E5 && var3 == MU && var13 == CHAUFO && var94 == EU93 && var98 == AZE ) || ( var2 == E5 && var3 == MU && var13 == CHAUFO && var94 == EU93 && var98 == Autre913 ) || ( var2 == E5 && var3 == MU && var13 == CA && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E5 && var3 == MU && var13 == CA && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E5 && var3 == MU && var13 == CA && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E5 && var3 == MU && var13 == CA && var94 == EU93 && var98 == CPTECO ) || ( var2 == E5 && var3 == MU && var13 == CA && var94 == EU93 && var98 == AZE ) || ( var2 == E5 && var3 == MU && var13 == CA && var94 == EU93 && var98 == Autre913 ) || ( var2 == E5 && var3 == MN && var13 == CHAUFO && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E5 && var3 == MN && var13 == CHAUFO && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E5 && var3 == MN && var13 == CHAUFO && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E5 && var3 == MN && var13 == CA && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E5 && var3 == MN && var13 == CA && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E5 && var3 == MN && var13 == CA && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E5 && var3 == MN && var13 == CA && var94 == EU96 && var98 == CPTECO ) || ( var2 == E5 && var3 == MN && var13 == CA && var94 == EU96 && var98 == AZE ) || ( var2 == E5 && var3 == MN && var13 == CA && var94 == EU96 && var98 == Autre913 ) || ( var2 == E5 && var3 == MH && var13 == CHAUFO && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E5 && var3 == MH && var13 == CHAUFO && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E5 && var3 == MH && var13 == CHAUFO && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E5 && var3 == MH && var13 == CHAUFO && var94 == EU96 && var98 == CPTECO ) || ( var2 == E5 && var3 == MH && var13 == CHAUFO && var94 == EU96 && var98 == AZE ) || ( var2 == E5 && var3 == MH && var13 == CHAUFO && var94 == EU96 && var98 == Autre913 ) || ( var2 == E5 && var3 == MH && var13 == CA && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E5 && var3 == MH && var13 == CA && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E5 && var3 == MH && var13 == CA && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E5 && var3 == MH && var13 == CA && var94 == EU96 && var98 == CPTECO ) || ( var2 == E5 && var3 == MH && var13 == CA && var94 == EU96 && var98 == AZE ) || ( var2 == E5 && var3 == MH && var13 == CA && var94 == EU96 && var98 == Autre913 ) || ( var2 == E5 && var3 == MG && var13 == CHAUFO && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E5 && var3 == MG && var13 == CHAUFO && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E5 && var3 == MG && var13 == CHAUFO && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E5 && var3 == MG && var13 == CA && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E5 && var3 == MG && var13 == CA && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E5 && var3 == MG && var13 == CA && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E5 && var3 == MG && var13 == CA && var94 == EU96 && var98 == CPTECO ) || ( var2 == E5 && var3 == MG && var13 == CA && var94 == EU96 && var98 == AZE ) || ( var2 == E5 && var3 == MG && var13 == CA && var94 == EU96 && var98 == Autre913 ) || ( var2 == E5 && var3 == MY && var13 == CHAUFO && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E5 && var3 == MY && var13 == CHAUFO && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E5 && var3 == MY && var13 == CHAUFO && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E5 && var3 == MY && var13 == CA && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E5 && var3 == MY && var13 == CA && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E5 && var3 == MY && var13 == CA && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E5 && var3 == MY && var13 == CA && var94 == EU96 && var98 == CPTECO ) || ( var2 == E5 && var3 == MY && var13 == CA && var94 == EU96 && var98 == AZE ) || ( var2 == E5 && var3 == MY && var13 == CA && var94 == EU96 && var98 == Autre913 ) || ( var2 == E5 && var3 == NM2K && var13 == CHAUFO && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E5 && var3 == NM2K && var13 == CHAUFO && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E5 && var3 == NM2K && var13 == CHAUFO && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E5 && var3 == NM2K && var13 == CHAUFO && var94 == EU00 && var98 == CPTECO ) || ( var2 == E5 && var3 == NM2K && var13 == CHAUFO && var94 == EU00 && var98 == AZE ) || ( var2 == E5 && var3 == NM2K && var13 == CHAUFO && var94 == EU00 && var98 == Autre913 ) || ( var2 == E5 && var3 == NM2K && var13 == CA && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E5 && var3 == NM2K && var13 == CA && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E5 && var3 == NM2K && var13 == CA && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E5 && var3 == NM2K && var13 == CA && var94 == EU00 && var98 == CPTECO ) || ( var2 == E5 && var3 == NM2K && var13 == CA && var94 == EU00 && var98 == AZE ) || ( var2 == E5 && var3 == NM2K && var13 == CA && var94 == EU00 && var98 == Autre913 ) || ( var2 == E5 && var3 == NM0C && var13 == CHAUFO && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E5 && var3 == NM0C && var13 == CHAUFO && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E5 && var3 == NM0C && var13 == CHAUFO && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E5 && var3 == NM0C && var13 == CHAUFO && var94 == EU00 && var98 == CPTECO ) || ( var2 == E5 && var3 == NM0C && var13 == CHAUFO && var94 == EU00 && var98 == AZE ) || ( var2 == E5 && var3 == NM0C && var13 == CHAUFO && var94 == EU00 && var98 == Autre913 ) || ( var2 == E5 && var3 == NM0C && var13 == CA && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E5 && var3 == NM0C && var13 == CA && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E5 && var3 == NM0C && var13 == CA && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E5 && var3 == NM0C && var13 == CA && var94 == EU00 && var98 == CPTECO ) || ( var2 == E5 && var3 == NM0C && var13 == CA && var94 == EU00 && var98 == AZE ) || ( var2 == E5 && var3 == NM0C && var13 == CA && var94 == EU00 && var98 == Autre913 ) || ( var2 == E5 && var3 == ND1G && var13 == CHAUFO && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E5 && var3 == ND1G && var13 == CHAUFO && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E5 && var3 == ND1G && var13 == CHAUFO && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E5 && var3 == ND1G && var13 == CHAUFO && var94 == EU00 && var98 == CPTECO ) || ( var2 == E5 && var3 == ND1G && var13 == CHAUFO && var94 == EU00 && var98 == AZE ) || ( var2 == E5 && var3 == ND1G && var13 == CHAUFO && var94 == EU00 && var98 == Autre913 ) || ( var2 == E5 && var3 == ND1G && var13 == CA && var94 == CRIT1503 && var98 == CPTECO ) || ( var2 == E5 && var3 == ND1G && var13 == CA && var94 == CRIT1503 && var98 == AZE ) || ( var2 == E5 && var3 == ND1G && var13 == CA && var94 == CRIT1503 && var98 == Autre913 ) || ( var2 == E5 && var3 == ND1G && var13 == CA && var94 == EU00 && var98 == CPTECO ) || ( var2 == E5 && var3 == ND1G && var13 == CA && var94 == EU00 && var98 == AZE ) || ( var2 == E5 && var3 == ND1G && var13 == CA && var94 == EU00 && var98 == Autre913 ) ) ); ( ( ( var1 == S64 && var2 == E1 && var3 == M9 && var27 == SADAC && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var27 == SADAC && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var27 == SADAC && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var27 == SADAC && var94 == EU96 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var27 == SADAC && var94 == EU93 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var27 == SADAC && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var27 == SADAC && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var27 == SADAC && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var27 == SADAC && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var27 == SADAC && var94 == EU96 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var27 == SADAC && var94 == EU93 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var27 == SADAC && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var27 == SADAC && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var27 == SADAC && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var27 == SADAC && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var27 == SADAC && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var27 == SADAC && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var27 == SADAC && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var27 == SADAC && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var27 == SADAC && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var27 == ADAC && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var27 == SADAC && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var27 == SADAC && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var27 == SADAC && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var27 == SADAC && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var27 == SADAC && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var27 == SADAC && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var27 == SADAC && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var27 == SADAC && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var27 == SADAC && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var27 == SADAC && var94 == EU93 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var27 == SADAC && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var27 == SADAC && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var27 == ADAC && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var27 == SADAC && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var27 == SADAC && var94 == EU96 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var27 == SADAC && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var27 == SADAC && var94 == EU00 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var27 == SADAC && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var27 == SADAC && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var27 == SADAC && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var27 == SADAC && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var27 == SADAC && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var27 == SADAC && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var27 == SADAC && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var27 == SADAC && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var27 == SADAC && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var27 == ADAC && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var27 == SADAC && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var27 == SADAC && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var27 == SADAC && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var27 == SADAC && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var27 == SADAC && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var27 == SADAC && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var27 == SADAC && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var27 == SADAC && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var27 == SADAC && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var27 == SADAC && var94 == EU93 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var27 == SADAC && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var27 == SADAC && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var27 == ADAC && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var27 == SADAC && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var27 == SADAC && var94 == EU96 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var27 == SADAC && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var27 == SADAC && var94 == EU00 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var27 == SADAC && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var27 == SADAC && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var27 == SADAC && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var27 == SADAC && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var27 == ADAC && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var27 == SADAC && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var27 == SADAC && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var27 == SADAC && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var27 == ADAC && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var27 == SADAC && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var27 == ADAC && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var27 == SADAC && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var27 == SADAC && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var27 == SADAC && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var27 == ADAC && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var27 == SADAC && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var27 == SADAC && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var27 == SADAC && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var27 == SADAC && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var27 == SADAC && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var27 == ADAC && var94 == CRIT1503 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var27 == SADAC && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var27 == SADAC && var94 == EU93 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var27 == SADAC && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var27 == SADAC && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var27 == ADAC && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var27 == SADAC && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var27 == ADAC && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var27 == SADAC && var94 == EU96 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var27 == ADAC && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var27 == SADAC && var94 == EU00 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var27 == SADAC && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var27 == SADAC && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var27 == ADAC && var94 == EU00 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var27 == SADAC && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var27 == ADAC && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var27 == SADAC && var94 == EU96 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var27 == SADAC && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var27 == SADAC && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var27 == ADAC && var94 == EU00 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var27 == SADAC && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var27 == ADAC && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var27 == SADAC && var94 == EU96 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var27 == SADAC && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var27 == SADAC && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var27 == ADAC && var94 == EU00 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var27 == SADAC && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var27 == ADAC && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var27 == SADAC && var94 == EU96 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var27 == SADAC && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var27 == ADAC && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var27 == ADAC && var94 == EU00 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var27 == SADAC && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var27 == ADAC && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var27 == SADAC && var94 == EU96 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var27 == ADAC && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var27 == SADAC && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var27 == ADAC && var94 == EU00 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var27 == SADAC && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var27 == ADAC && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var27 == ADAC && var94 == EU96 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var27 == SADAC && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var27 == SADAC && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var27 == SADAC && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var27 == SADAC && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var27 == SADAC && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var27 == SADAC && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var27 == ADAC && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var27 == SADAC && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var27 == SADAC && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var27 == SADAC && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var27 == SADAC && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var27 == SADAC && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var27 == SADAC && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var27 == SADAC && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var27 == SADAC && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var27 == SADAC && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var27 == SADAC && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var27 == ADAC && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var27 == SADAC && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var27 == SADAC && var94 == EU96 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var27 == SADAC && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var27 == SADAC && var94 == EU00 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var27 == SADAC && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var27 == SADAC && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var27 == SADAC && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var27 == SADAC && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var27 == ADAC && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var27 == SADAC && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var27 == ADAC && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var27 == SADAC && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var27 == SADAC && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var27 == SADAC && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var27 == ADAC && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var27 == SADAC && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var27 == SADAC && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var27 == SADAC && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var27 == SADAC && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var27 == SADAC && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var27 == SADAC && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var27 == SADAC && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var27 == ADAC && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var27 == SADAC && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var27 == ADAC && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var27 == SADAC && var94 == EU96 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var27 == ADAC && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var27 == SADAC && var94 == EU00 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var27 == SADAC && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var27 == SADAC && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var27 == SADAC && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var27 == SADAC && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var27 == SADAC && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var27 == SADAC && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var27 == SADAC && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var27 == SADAC && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var27 == SADAC && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var27 == ADAC && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var27 == SADAC && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var27 == SADAC && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var27 == SADAC && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var27 == SADAC && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var27 == SADAC && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var27 == SADAC && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var27 == SADAC && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var27 == SADAC && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var27 == SADAC && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var27 == SADAC && var94 == EU93 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var27 == SADAC && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var27 == SADAC && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var27 == ADAC && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var27 == SADAC && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var27 == SADAC && var94 == EU96 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var27 == SADAC && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var27 == SADAC && var94 == EU00 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var27 == SADAC && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var27 == SADAC && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var27 == SADAC && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var27 == SADAC && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var27 == ADAC && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var27 == SADAC && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var27 == SADAC && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var27 == SADAC && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var27 == ADAC && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var27 == SADAC && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var27 == ADAC && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var27 == SADAC && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var27 == SADAC && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var27 == SADAC && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var27 == ADAC && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var27 == SADAC && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var27 == SADAC && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var27 == SADAC && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var27 == SADAC && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var27 == SADAC && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var27 == ADAC && var94 == CRIT1503 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var27 == SADAC && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var27 == SADAC && var94 == EU93 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var27 == SADAC && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var27 == SADAC && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var27 == ADAC && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var27 == SADAC && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var27 == ADAC && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var27 == SADAC && var94 == EU96 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var27 == ADAC && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var27 == SADAC && var94 == EU00 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var27 == SADAC && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var27 == SADAC && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var27 == SADAC && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var27 == SADAC && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var27 == SADAC && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var27 == SADAC && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var27 == SADAC && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var27 == SADAC && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var27 == SADAC && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var27 == ADAC && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var27 == SADAC && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var27 == SADAC && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var27 == SADAC && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var27 == SADAC && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var27 == SADAC && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var27 == SADAC && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var27 == SADAC && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var27 == SADAC && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var27 == SADAC && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var27 == SADAC && var94 == EU93 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var27 == SADAC && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var27 == SADAC && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var27 == ADAC && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var27 == SADAC && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var27 == SADAC && var94 == EU96 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var27 == SADAC && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var27 == SADAC && var94 == EU00 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var27 == SADAC && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var27 == SADAC && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var27 == SADAC && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var27 == SADAC && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var27 == SADAC && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var27 == SADAC && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var27 == SADAC && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var27 == SADAC && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var27 == SADAC && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var27 == ADAC && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var27 == SADAC && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var27 == SADAC && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var27 == SADAC && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var27 == SADAC && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var27 == SADAC && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var27 == SADAC && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var27 == SADAC && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var27 == SADAC && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var27 == SADAC && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var27 == SADAC && var94 == EU93 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var27 == SADAC && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var27 == SADAC && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var27 == ADAC && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var27 == SADAC && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var27 == SADAC && var94 == EU96 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var27 == SADAC && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var27 == SADAC && var94 == EU00 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var27 == SADAC && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var27 == SADAC && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var27 == SADAC && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var27 == SADAC && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var27 == ADAC && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var27 == SADAC && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var27 == SADAC && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var27 == SADAC && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var27 == ADAC && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var27 == SADAC && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var27 == ADAC && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var27 == SADAC && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var27 == SADAC && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var27 == SADAC && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var27 == ADAC && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var27 == SADAC && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var27 == SADAC && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var27 == SADAC && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var27 == SADAC && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var27 == SADAC && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var27 == ADAC && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var27 == SADAC && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var27 == SADAC && var94 == EU93 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var27 == SADAC && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var27 == SADAC && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var27 == ADAC && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var27 == SADAC && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var27 == ADAC && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var27 == SADAC && var94 == EU96 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var27 == ADAC && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var27 == SADAC && var94 == EU00 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var27 == SADAC && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var27 == SADAC && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var27 == SADAC && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var27 == SADAC && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var27 == SADAC && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var27 == SADAC && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var27 == SADAC && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var27 == SADAC && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var27 == SADAC && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var27 == ADAC && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var27 == SADAC && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var27 == SADAC && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var27 == SADAC && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var27 == SADAC && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var27 == SADAC && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var27 == SADAC && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var27 == SADAC && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var27 == SADAC && var94 == CRIT1503 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var27 == SADAC && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var27 == SADAC && var94 == EU93 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var27 == SADAC && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var27 == SADAC && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var27 == ADAC && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var27 == ADAC && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var27 == SADAC && var94 == EU96 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var27 == SADAC && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var27 == SADAC && var94 == EU00 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var27 == SADAC && var94 == EU00 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var27 == SADAC && var94 == EU96 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var27 == SADAC && var94 == EU96 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var27 == SADAC && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var27 == SADAC && var94 == EU93 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var27 == SADAC && var94 == EU00 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var27 == SADAC && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var27 == SADAC && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var27 == SADAC && var94 == EU96 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var27 == SADAC && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var27 == SADAC && var94 == EU93 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var27 == SADAC && var94 == EU00 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var27 == SADAC && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var27 == SADAC && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var27 == SADAC && var94 == EU96 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var27 == SADAC && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var27 == SADAC && var94 == EU93 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var27 == SADAC && var94 == EU00 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var27 == SADAC && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var27 == SADAC && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var27 == SADAC && var94 == EU96 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var27 == SADAC && var94 == EU96 ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var27 == SADAC && var94 == EU96 ) ) ); ( ( ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == FRAN && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == FRAN && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == FRAN && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == DOTO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == DOTO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == DOTO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == ALLE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == ALLE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == ALLE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == AUTR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == AUTR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == AUTR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == BELG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == BELG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == BELG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == DANE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == DANE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == DANE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == ESPA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == ESPA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == ESPA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == FINL && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == FINL && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == FINL && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == GRBR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == GRBR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == GRBR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == GREC && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == GREC && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == GREC && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == HOLL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == HOLL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == HOLL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == IRLA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == IRLA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == IRLA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == ISLA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == ISLA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == ISLA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == ITAL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == ITAL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == ITAL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == NORV && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == NORV && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == NORV && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == PORT && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == PORT && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == PORT && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == SUED && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == SUED && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == SUED && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == SUIS && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == SUIS && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == SUIS && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == YOUG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == YOUG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == YOUG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == CHIL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == CHIL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == CHIL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == AFSU && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == AFSU && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == AFSU && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == ISRA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == ISRA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == ISRA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == HONG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == HONG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == HONG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == TCHE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == TCHE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == TCHE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == POLO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == POLO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == POLO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == SLVQ && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == SLVQ && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == SLVQ && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == JAPO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == JAPO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == JAPO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == TAIW && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == TAIW && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == TAIW && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == AUST && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == AUST && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == AUST && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == URUG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == URUG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == URUG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == DAID && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == DAID && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == DAID && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == DAIF && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == DAIF && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == DAIF && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == EUOR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == EUOR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == EUOR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == FRAN && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == FRAN && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == FRAN && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == DOTO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == DOTO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == DOTO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == ALLE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == ALLE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == ALLE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == AUTR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == AUTR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == AUTR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == BELG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == BELG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == BELG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == DANE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == DANE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == DANE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == ESPA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == ESPA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == ESPA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == FINL && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == FINL && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == FINL && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == GRBR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == GRBR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == GRBR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == GREC && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == GREC && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == GREC && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == HOLL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == HOLL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == HOLL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == IRLA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == IRLA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == IRLA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == ISLA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == ISLA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == ISLA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == ITAL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == ITAL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == ITAL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == NORV && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == NORV && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == NORV && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == PORT && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == PORT && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == PORT && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == SUED && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == SUED && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == SUED && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == SUIS && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == SUIS && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == SUIS && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == YOUG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == YOUG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == YOUG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == CHIL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == CHIL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == CHIL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == AFSU && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == AFSU && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == AFSU && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == ISRA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == ISRA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == ISRA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == HONG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == HONG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == HONG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == TCHE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == TCHE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == TCHE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == POLO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == POLO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == POLO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == SLVQ && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == SLVQ && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == SLVQ && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == JAPO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == JAPO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == JAPO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == TAIW && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == TAIW && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == TAIW && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == AUST && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == AUST && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == AUST && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == URUG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == URUG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == URUG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == DAID && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == DAID && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == DAID && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == DAIF && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == DAIF && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == DAIF && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == EUOR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == EUOR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == EUOR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == FRAN && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == FRAN && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == FRAN && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == DOTO && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == DOTO && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == DOTO && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == ALLE && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == ALLE && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == ALLE && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == AUTR && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == AUTR && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == AUTR && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == BELG && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == BELG && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == BELG && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == DANE && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == DANE && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == DANE && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == ESPA && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == ESPA && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == ESPA && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == FINL && var7 == GDFROI && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == FINL && var7 == GDFROI && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == FINL && var7 == GDFROI && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == GRBR && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == GRBR && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == GRBR && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == GREC && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == GREC && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == GREC && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == HOLL && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == HOLL && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == HOLL && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == IRLA && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == IRLA && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == IRLA && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == ISLA && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == ISLA && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == ISLA && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == ITAL && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == ITAL && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == ITAL && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == NORV && var7 == GDFROI && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == NORV && var7 == GDFROI && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == NORV && var7 == GDFROI && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == PORT && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == PORT && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == PORT && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == SUED && var7 == GDFROI && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == SUED && var7 == GDFROI && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == SUED && var7 == GDFROI && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == SUIS && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == SUIS && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == SUIS && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == YOUG && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == YOUG && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == YOUG && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == CHIL && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == CHIL && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == CHIL && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == AFSU && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == AFSU && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == AFSU && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == ISRA && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == ISRA && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == ISRA && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == HONG && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == HONG && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == HONG && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == TCHE && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == TCHE && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == TCHE && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == POLO && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == POLO && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == POLO && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == SLVQ && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == SLVQ && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == SLVQ && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == JAPO && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == JAPO && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == JAPO && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == TAIW && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == TAIW && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == TAIW && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == AUST && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == AUST && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == AUST && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == URUG && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == URUG && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == URUG && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == DAID && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == DAID && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == DAID && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == DAIF && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == DAIF && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == DAIF && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == EUOR && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == EUOR && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == EUOR && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) ) || ( ( var1 == L64 && var2 == E1 && var3 == MU && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == FRAN && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == FRAN && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == FRAN && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == DOTO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == DOTO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == DOTO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == ALLE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == ALLE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == ALLE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == AUTR && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == AUTR && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == AUTR && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == BELG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == BELG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == BELG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == DANE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == DANE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == DANE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == ESPA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == ESPA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == ESPA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == FINL && var7 == GDFROI && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == FINL && var7 == GDFROI && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == FINL && var7 == GDFROI && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == GRBR && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == GRBR && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == GRBR && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == GREC && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == GREC && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == GREC && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == HOLL && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == HOLL && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == HOLL && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == IRLA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == IRLA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == IRLA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == ISLA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == ISLA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == ISLA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == ITAL && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == ITAL && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == ITAL && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == NORV && var7 == GDFROI && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == NORV && var7 == GDFROI && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == NORV && var7 == GDFROI && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == PORT && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == PORT && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == PORT && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == SUED && var7 == GDFROI && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == SUED && var7 == GDFROI && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == SUED && var7 == GDFROI && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == SUIS && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == SUIS && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == SUIS && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == YOUG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == YOUG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == YOUG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == MAGH && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == MAGH && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == MAGH && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == TURQ && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == TURQ && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == TURQ && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == ARGE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == ARGE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == ARGE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == BRES && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == BRES && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == BRES && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == CHIL && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == CHIL && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == CHIL && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == COLO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == COLO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == COLO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == AFSU && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == AFSU && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == AFSU && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == MARO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == MARO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == MARO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == ISRA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == ISRA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == ISRA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == HONG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == HONG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == HONG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == TCHE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == TCHE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == TCHE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == POLO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == POLO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == POLO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == SLVQ && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == SLVQ && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == SLVQ && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == JAPO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == JAPO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == JAPO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == TAIW && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == TAIW && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == TAIW && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == AUST && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == AUST && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == AUST && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == URUG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == URUG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == URUG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == DAIB && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == DAIB && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == DAIB && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == DAIC && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == DAIC && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == DAIC && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == DAID && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == DAID && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == DAID && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == DAIF && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == DAIF && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == DAIF && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == EUOR && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == EUOR && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == EUOR && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == CETI && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == CETI && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == CETI && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == FRAN && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == FRAN && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == FRAN && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == DOTO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == DOTO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == DOTO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == ALLE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == ALLE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == ALLE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == AUTR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == AUTR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == AUTR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == BELG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == BELG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == BELG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == DANE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == DANE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == DANE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == ESPA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == ESPA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == ESPA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == FINL && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == FINL && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == FINL && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == GRBR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == GRBR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == GRBR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == GREC && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == GREC && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == GREC && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == HOLL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == HOLL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == HOLL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == IRLA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == IRLA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == IRLA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == ISLA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == ISLA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == ISLA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == ITAL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == ITAL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == ITAL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == NORV && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == NORV && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == NORV && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == PORT && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == PORT && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == PORT && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == SUED && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == SUED && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == SUED && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == SUIS && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == SUIS && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == SUIS && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == YOUG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == YOUG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == YOUG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == CHIL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == CHIL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == CHIL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == AFSU && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == AFSU && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == AFSU && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == ISRA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == ISRA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == ISRA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == HONG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == HONG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == HONG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == TCHE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == TCHE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == TCHE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == POLO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == POLO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == POLO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == SLVQ && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == SLVQ && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == SLVQ && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == JAPO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == JAPO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == JAPO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == TAIW && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == TAIW && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == TAIW && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == AUST && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == AUST && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == AUST && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == URUG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == URUG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == URUG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == DAID && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == DAID && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == DAID && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == DAIF && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == DAIF && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == DAIF && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == EUOR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == EUOR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == EUOR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == FRAN && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == FRAN && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == FRAN && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == DOTO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == DOTO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == DOTO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == ALLE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == ALLE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == ALLE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == AUTR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == AUTR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == AUTR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == BELG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == BELG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == BELG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == DANE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == DANE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == DANE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == ESPA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == ESPA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == ESPA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == FINL && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == FINL && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == FINL && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == GRBR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == GRBR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == GRBR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == GREC && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == GREC && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == GREC && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == HOLL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == HOLL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == HOLL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == IRLA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == IRLA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == IRLA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == ISLA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == ISLA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == ISLA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == ITAL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == ITAL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == ITAL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == NORV && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == NORV && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == NORV && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == PORT && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == PORT && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == PORT && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == SUED && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == SUED && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == SUED && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == SUIS && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == SUIS && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == SUIS && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == YOUG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == YOUG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == YOUG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == CHIL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == CHIL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == CHIL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == AFSU && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == AFSU && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == AFSU && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == ISRA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == ISRA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == ISRA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == HONG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == HONG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == HONG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == TCHE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == TCHE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == TCHE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == POLO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == POLO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == POLO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == SLVQ && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == SLVQ && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == SLVQ && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == JAPO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == JAPO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == JAPO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == TAIW && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == TAIW && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == TAIW && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == AUST && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == AUST && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == AUST && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == URUG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == URUG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == URUG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == DAID && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == DAID && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == DAID && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == DAIF && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == DAIF && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == DAIF && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == EUOR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == EUOR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == EUOR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == FRAN && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == FRAN && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == FRAN && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == DOTO && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == DOTO && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == DOTO && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == ALLE && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == ALLE && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == ALLE && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == AUTR && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == AUTR && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == AUTR && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == BELG && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == BELG && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == BELG && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == DANE && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == DANE && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == DANE && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == ESPA && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == ESPA && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == ESPA && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == FINL && var7 == GDFROI && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == FINL && var7 == GDFROI && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == FINL && var7 == GDFROI && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == GRBR && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == GRBR && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == GRBR && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == GREC && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == GREC && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == GREC && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == HOLL && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == HOLL && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == HOLL && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == IRLA && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == IRLA && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == IRLA && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == ISLA && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == ISLA && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == ISLA && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == ITAL && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == ITAL && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == ITAL && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == NORV && var7 == GDFROI && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == NORV && var7 == GDFROI && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == NORV && var7 == GDFROI && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == PORT && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == PORT && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == PORT && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == SUED && var7 == GDFROI && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == SUED && var7 == GDFROI && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == SUED && var7 == GDFROI && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == SUIS && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == SUIS && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == SUIS && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == YOUG && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == YOUG && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == YOUG && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == CHIL && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == CHIL && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == CHIL && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == AFSU && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == AFSU && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == AFSU && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == ISRA && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == ISRA && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == ISRA && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == HONG && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == HONG && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == HONG && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == TCHE && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == TCHE && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == TCHE && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == POLO && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == POLO && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == POLO && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == SLVQ && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == SLVQ && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == SLVQ && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == JAPO && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == JAPO && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == JAPO && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == TAIW && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == TAIW && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == TAIW && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == AUST && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == AUST && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == AUST && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == URUG && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == URUG && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == URUG && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == DAID && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == DAID && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == DAID && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == DAIF && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == DAIF && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == DAIF && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == EUOR && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == EUOR && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == EUOR && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == FRAN && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == FRAN && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == FRAN && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == FRAN && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == DOTO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == DOTO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == DOTO && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == DOTO && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == ALLE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == ALLE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == ALLE && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == ALLE && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == AUTR && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == AUTR && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == AUTR && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == AUTR && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == BELG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == BELG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == BELG && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == BELG && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == DANE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == DANE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == DANE && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == DANE && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == ESPA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == ESPA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == ESPA && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == ESPA && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == FINL && var7 == GDFROI && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == FINL && var7 == GDFROI && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == GRBR && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == GRBR && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == GRBR && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == GRBR && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == GREC && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == GREC && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == GREC && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == GREC && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == HOLL && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == HOLL && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == HOLL && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == HOLL && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == IRLA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == IRLA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == IRLA && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == IRLA && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == ISLA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == ISLA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == ISLA && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == ISLA && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == ITAL && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == ITAL && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == ITAL && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == ITAL && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == NORV && var7 == GDFROI && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == NORV && var7 == GDFROI && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == PORT && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == PORT && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == PORT && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == PORT && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == SUED && var7 == GDFROI && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == SUED && var7 == GDFROI && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == SUIS && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == SUIS && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == SUIS && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == SUIS && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == YOUG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == YOUG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == YOUG && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == YOUG && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == CHIL && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == CHIL && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == CHIL && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == CHIL && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == AFSU && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == AFSU && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == AFSU && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == AFSU && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == ISRA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == ISRA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == ISRA && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == ISRA && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == HONG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == HONG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == HONG && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == HONG && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == TCHE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == TCHE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == TCHE && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == TCHE && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == POLO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == POLO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == POLO && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == POLO && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == SLVQ && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == SLVQ && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == SLVQ && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == SLVQ && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == JAPO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == JAPO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == JAPO && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == JAPO && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == TAIW && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == TAIW && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == TAIW && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == TAIW && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == AUST && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == AUST && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == AUST && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == AUST && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == URUG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == URUG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == URUG && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == URUG && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == DAID && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == DAID && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == DAID && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == DAID && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == DAIF && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == DAIF && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == DAIF && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == DAIF && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == EUOR && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == EUOR && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == EUOR && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == EUOR && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) ) || ( ( var1 == L64 && var2 == E2 && var3 == MK && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == FRAN && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == FRAN && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == FRAN && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == DOTO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == DOTO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == DOTO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == ALLE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == ALLE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == ALLE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == AUTR && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == AUTR && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == AUTR && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == BELG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == BELG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == BELG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == DANE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == DANE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == DANE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == ESPA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == ESPA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == ESPA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == FINL && var7 == GDFROI && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == FINL && var7 == GDFROI && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == FINL && var7 == GDFROI && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == GRBR && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == GRBR && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == GRBR && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == GREC && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == GREC && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == GREC && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == HOLL && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == HOLL && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == HOLL && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == IRLA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == IRLA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == IRLA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == ISLA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == ISLA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == ISLA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == ITAL && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == ITAL && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == ITAL && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == NORV && var7 == GDFROI && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == NORV && var7 == GDFROI && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == NORV && var7 == GDFROI && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == PORT && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == PORT && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == PORT && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == SUED && var7 == GDFROI && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == SUED && var7 == GDFROI && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == SUED && var7 == GDFROI && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == SUIS && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == SUIS && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == SUIS && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == YOUG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == YOUG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == YOUG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == MAGH && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == MAGH && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == MAGH && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == TURQ && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == TURQ && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == TURQ && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == ARGE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == ARGE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == ARGE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == BRES && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == BRES && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == BRES && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == CHIL && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == CHIL && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == CHIL && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == COLO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == COLO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == COLO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == AFSU && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == AFSU && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == AFSU && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == MARO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == MARO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == MARO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == ISRA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == ISRA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == ISRA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == HONG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == HONG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == HONG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == TCHE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == TCHE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == TCHE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == POLO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == POLO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == POLO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == SLVQ && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == SLVQ && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == SLVQ && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == JAPO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == JAPO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == JAPO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == TAIW && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == TAIW && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == TAIW && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == AUST && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == AUST && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == AUST && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == URUG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == URUG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == URUG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == DAIB && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == DAIB && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == DAIB && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == DAIC && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == DAIC && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == DAIC && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == DAID && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == DAID && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == DAID && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == DAIF && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == DAIF && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == DAIF && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == EUOR && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == EUOR && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == EUOR && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == CETI && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == CETI && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == CETI && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == FRAN && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == FRAN && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == FRAN && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == DOTO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == DOTO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == DOTO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == ALLE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == ALLE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == ALLE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == AUTR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == AUTR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == AUTR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == BELG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == BELG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == BELG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == DANE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == DANE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == DANE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == ESPA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == ESPA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == ESPA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == FINL && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == FINL && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == FINL && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == GRBR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == GRBR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == GRBR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == GREC && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == GREC && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == GREC && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == HOLL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == HOLL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == HOLL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == IRLA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == IRLA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == IRLA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == ISLA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == ISLA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == ISLA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == ITAL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == ITAL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == ITAL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == NORV && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == NORV && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == NORV && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == PORT && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == PORT && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == PORT && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == SUED && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == SUED && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == SUED && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == SUIS && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == SUIS && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == SUIS && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == YOUG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == YOUG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == YOUG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == CHIL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == CHIL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == CHIL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == AFSU && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == AFSU && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == AFSU && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == ISRA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == ISRA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == ISRA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == HONG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == HONG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == HONG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == TCHE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == TCHE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == TCHE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == POLO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == POLO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == POLO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == SLVQ && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == SLVQ && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == SLVQ && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == JAPO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == JAPO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == JAPO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == TAIW && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == TAIW && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == TAIW && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == AUST && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == AUST && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == AUST && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == URUG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == URUG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == URUG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == DAID && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == DAID && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == DAID && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == DAIF && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == DAIF && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == DAIF && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == EUOR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == EUOR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == EUOR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == FRAN && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == FRAN && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == FRAN && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == DOTO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == DOTO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == DOTO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == ALLE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == ALLE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == ALLE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == AUTR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == AUTR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == AUTR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == BELG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == BELG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == BELG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == DANE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == DANE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == DANE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == ESPA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == ESPA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == ESPA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == FINL && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == FINL && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == FINL && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == GRBR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == GRBR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == GRBR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == GREC && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == GREC && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == GREC && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == HOLL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == HOLL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == HOLL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == IRLA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == IRLA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == IRLA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == ISLA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == ISLA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == ISLA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == ITAL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == ITAL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == ITAL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == NORV && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == NORV && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == NORV && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == PORT && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == PORT && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == PORT && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == SUED && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == SUED && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == SUED && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == SUIS && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == SUIS && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == SUIS && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == YOUG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == YOUG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == YOUG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == CHIL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == CHIL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == CHIL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == AFSU && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == AFSU && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == AFSU && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == ISRA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == ISRA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == ISRA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == HONG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == HONG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == HONG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == TCHE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == TCHE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == TCHE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == POLO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == POLO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == POLO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == SLVQ && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == SLVQ && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == SLVQ && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == JAPO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == JAPO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == JAPO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == TAIW && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == TAIW && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == TAIW && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == AUST && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == AUST && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == AUST && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == URUG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == URUG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == URUG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == DAID && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == DAID && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == DAID && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == DAIF && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == DAIF && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == DAIF && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == EUOR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == EUOR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == EUOR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == FRAN && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == FRAN && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == FRAN && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == DOTO && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == DOTO && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == DOTO && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == ALLE && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == ALLE && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == ALLE && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == AUTR && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == AUTR && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == AUTR && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == BELG && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == BELG && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == BELG && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == DANE && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == DANE && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == DANE && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == ESPA && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == ESPA && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == ESPA && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == FINL && var7 == GDFROI && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == FINL && var7 == GDFROI && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == FINL && var7 == GDFROI && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == GRBR && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == GRBR && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == GRBR && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) ) || ( ( var1 == L64 && var2 == E3 && var3 == MD && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == GREC && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == GREC && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == GREC && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == HOLL && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == HOLL && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == HOLL && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == IRLA && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == IRLA && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == IRLA && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == ISLA && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == ISLA && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == ISLA && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == ITAL && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == ITAL && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == ITAL && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == NORV && var7 == GDFROI && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == NORV && var7 == GDFROI && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == NORV && var7 == GDFROI && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == PORT && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == PORT && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == PORT && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == SUED && var7 == GDFROI && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == SUED && var7 == GDFROI && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == SUED && var7 == GDFROI && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == SUIS && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == SUIS && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == SUIS && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == YOUG && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == YOUG && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == YOUG && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == CHIL && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == CHIL && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == CHIL && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == AFSU && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == AFSU && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == AFSU && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == ISRA && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == ISRA && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == ISRA && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == HONG && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == HONG && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == HONG && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == TCHE && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == TCHE && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == TCHE && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == POLO && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == POLO && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == POLO && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == SLVQ && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == SLVQ && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == SLVQ && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == JAPO && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == JAPO && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == JAPO && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == TAIW && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == TAIW && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == TAIW && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == AUST && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == AUST && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == AUST && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == URUG && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == URUG && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == URUG && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == DAID && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == DAID && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == DAID && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == DAIF && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == DAIF && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == DAIF && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == EUOR && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == EUOR && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == EUOR && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == FRAN && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == FRAN && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == FRAN && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == FRAN && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == DOTO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == DOTO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == DOTO && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == DOTO && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == ALLE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == ALLE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == ALLE && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == ALLE && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == AUTR && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == AUTR && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == AUTR && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == AUTR && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == BELG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == BELG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == BELG && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == BELG && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == DANE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == DANE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == DANE && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == DANE && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == ESPA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == ESPA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == ESPA && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == ESPA && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == FINL && var7 == GDFROI && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == FINL && var7 == GDFROI && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == GRBR && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == GRBR && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == GRBR && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == GRBR && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == GREC && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == GREC && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == GREC && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == GREC && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == HOLL && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == HOLL && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == HOLL && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == HOLL && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == IRLA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == IRLA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == IRLA && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == IRLA && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == ISLA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == ISLA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == ISLA && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == ISLA && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == ITAL && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == ITAL && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == ITAL && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == ITAL && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == NORV && var7 == GDFROI && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == NORV && var7 == GDFROI && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == PORT && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == PORT && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == PORT && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == PORT && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == SUED && var7 == GDFROI && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == SUED && var7 == GDFROI && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == SUIS && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == SUIS && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == SUIS && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == SUIS && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == YOUG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == YOUG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == YOUG && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == YOUG && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == CHIL && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == CHIL && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == CHIL && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == CHIL && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == AFSU && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == AFSU && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == AFSU && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == AFSU && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == ISRA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == ISRA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == ISRA && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == ISRA && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == HONG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == HONG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == HONG && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == HONG && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == TCHE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == TCHE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == TCHE && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == TCHE && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == POLO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == POLO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == POLO && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == POLO && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == SLVQ && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == SLVQ && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == SLVQ && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == SLVQ && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == JAPO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == JAPO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == JAPO && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == JAPO && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == TAIW && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == TAIW && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == TAIW && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == TAIW && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == AUST && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == AUST && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == AUST && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == AUST && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == URUG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == URUG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == URUG && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == URUG && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == DAID && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == DAID && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == DAID && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == DAID && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == DAIF && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == DAIF && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == DAIF && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == DAIF && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == EUOR && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == EUOR && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == EUOR && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == EUOR && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == FRAN && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == FRAN && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == FRAN && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == DOTO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == DOTO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == DOTO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == ALLE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == ALLE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == ALLE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == AUTR && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == AUTR && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == AUTR && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == BELG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == BELG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == BELG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == DANE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == DANE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == DANE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == ESPA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == ESPA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == ESPA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == FINL && var7 == GDFROI && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == FINL && var7 == GDFROI && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == FINL && var7 == GDFROI && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == GRBR && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == GRBR && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == GRBR && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == GREC && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == GREC && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == GREC && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == HOLL && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == HOLL && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == HOLL && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == IRLA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == IRLA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == IRLA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == ISLA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == ISLA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == ISLA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == ITAL && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == ITAL && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == ITAL && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == NORV && var7 == GDFROI && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == NORV && var7 == GDFROI && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == NORV && var7 == GDFROI && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == PORT && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == PORT && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == PORT && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == SUED && var7 == GDFROI && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == SUED && var7 == GDFROI && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == SUED && var7 == GDFROI && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == SUIS && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == SUIS && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == SUIS && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == YOUG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == YOUG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == YOUG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == MAGH && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == MAGH && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == MAGH && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == TURQ && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == TURQ && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == TURQ && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == ARGE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == ARGE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == ARGE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == BRES && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == BRES && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == BRES && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == CHIL && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == CHIL && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == CHIL && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == COLO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == COLO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == COLO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == AFSU && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == AFSU && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == AFSU && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == MARO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == MARO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == MARO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == ISRA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == ISRA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == ISRA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == HONG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == HONG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == HONG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == TCHE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == TCHE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == TCHE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == POLO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == POLO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == POLO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == SLVQ && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == SLVQ && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == SLVQ && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == JAPO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == JAPO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == JAPO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == TAIW && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == TAIW && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == TAIW && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == AUST && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == AUST && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == AUST && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == URUG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == URUG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == URUG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == DAIB && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == DAIB && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == DAIB && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == DAIC && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == DAIC && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == DAIC && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == DAID && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == DAID && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == DAID && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == DAIF && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == DAIF && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == DAIF && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == EUOR && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == EUOR && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == EUOR && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == CETI && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == CETI && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == CETI && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == FRAN && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == DOTO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == ALLE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == AUTR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == BELG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == DANE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == ESPA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == GRBR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == GREC && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == HOLL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == IRLA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == ISLA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == ITAL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == PORT && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == SUIS && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == YOUG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == TURQ && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == CHIL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == AFSU && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == ISRA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == HONG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == TCHE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == POLO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == SLVQ && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == JAPO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == TAIW && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == AUST && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == URUG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == DAID && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == DAIF && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == EUOR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == FRAN && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == DOTO && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == ALLE && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == AUTR && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == BELG && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == DANE && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == ESPA && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == GRBR && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == GREC && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == HOLL && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == IRLA && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == ISLA && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == ITAL && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == PORT && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == SUIS && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == YOUG && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == TURQ && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == CHIL && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == AFSU && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == ISRA && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == HONG && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == TCHE && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == POLO && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == SLVQ && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == JAPO && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == TAIW && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == AUST && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == URUG && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == DAID && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == DAIF && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == EUOR && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == FRAN && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == DOTO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == ALLE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == AUTR && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == BELG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == DANE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == ESPA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == GRBR && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == GREC && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == HOLL && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == IRLA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == ISLA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == ITAL && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == PORT && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == SUIS && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == YOUG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == MAGH && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == TURQ && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == ARGE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == BRES && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == CHIL && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == COLO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == AFSU && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == MARO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == ISRA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == HONG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == TCHE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == POLO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == SLVQ && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == JAPO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == TAIW && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == AUST && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == URUG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == DAIB && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == DAIC && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == DAID && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == DAIF && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == EUOR && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == CETI && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == FRAN && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == DOTO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == ALLE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == AUTR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == BELG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == DANE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == ESPA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == GRBR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == GREC && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == HOLL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == IRLA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == ISLA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == ITAL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == PORT && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == SUIS && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == YOUG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == TURQ && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == CHIL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == AFSU && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == ISRA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == HONG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == TCHE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == POLO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == SLVQ && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == JAPO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == TAIW && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == AUST && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == URUG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == DAID && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == DAIF && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == EUOR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == FRAN && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == DOTO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == ALLE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == AUTR && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == BELG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == DANE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == ESPA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == GRBR && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == GREC && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == HOLL && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == IRLA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == ISLA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == ITAL && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == PORT && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == SUIS && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == YOUG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == MAGH && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == TURQ && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) ) || ( ( var1 == E64 && var2 == E1 && var3 == MG && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == ARGE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == BRES && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == CHIL && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == COLO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == AFSU && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == MARO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == ISRA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == HONG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == TCHE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == POLO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == SLVQ && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == JAPO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == TAIW && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == AUST && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == URUG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == DAIB && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == DAIC && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == DAID && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == DAIF && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == EUOR && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == CETI && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == FRAN && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == DOTO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == ALLE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == AUTR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == BELG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == DANE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == ESPA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == GRBR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == GREC && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == HOLL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == IRLA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == ISLA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == ITAL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == PORT && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == SUIS && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == YOUG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == TURQ && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == CHIL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == AFSU && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == ISRA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == HONG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == TCHE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == POLO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == SLVQ && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == JAPO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == TAIW && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == AUST && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == URUG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == DAID && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == DAIF && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == EUOR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == FRAN && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == DOTO && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == ALLE && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == AUTR && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == BELG && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == DANE && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == ESPA && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == GRBR && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == GREC && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == HOLL && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == IRLA && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == ISLA && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == ITAL && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == PORT && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == SUIS && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == YOUG && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == TURQ && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == CHIL && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == AFSU && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == ISRA && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == HONG && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == TCHE && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == POLO && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == SLVQ && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == JAPO && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == TAIW && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == AUST && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == URUG && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == DAID && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == DAIF && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == EUOR && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == FRAN && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == DOTO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == ALLE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == AUTR && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == BELG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == DANE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == ESPA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == GRBR && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == GREC && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == HOLL && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == IRLA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == ISLA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == ITAL && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == PORT && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == SUIS && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == YOUG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == MAGH && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == TURQ && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == ARGE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == BRES && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == CHIL && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == COLO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == AFSU && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == MARO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == ISRA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == HONG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == TCHE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == POLO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == SLVQ && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == JAPO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == TAIW && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == AUST && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == URUG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == DAIB && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == DAIC && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == DAID && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == DAIF && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == EUOR && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == CETI && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == FRAN && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == DOTO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == ALLE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == AUTR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == BELG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == DANE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == ESPA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == GRBR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == GREC && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == HOLL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == IRLA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == ISLA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == ITAL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == PORT && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == SUIS && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == YOUG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == TURQ && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == CHIL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == AFSU && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == ISRA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == HONG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == TCHE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == POLO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == SLVQ && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == JAPO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == TAIW && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == AUST && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == URUG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == DAID && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == DAIF && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == EUOR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == FRAN && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == DOTO && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == ALLE && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == AUTR && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == BELG && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == DANE && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == ESPA && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == GRBR && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == GREC && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == HOLL && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == IRLA && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == ISLA && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == ITAL && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == PORT && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == SUIS && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == YOUG && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == TURQ && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == CHIL && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == AFSU && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == ISRA && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == HONG && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == TCHE && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == POLO && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == SLVQ && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == JAPO && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == TAIW && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == AUST && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == URUG && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == DAID && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == DAIF && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == EUOR && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == FRAN && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == DOTO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == ALLE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == AUTR && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == BELG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == DANE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == ESPA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == GRBR && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == GREC && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == HOLL && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == IRLA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == ISLA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == ITAL && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == PORT && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == SUIS && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == YOUG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == MAGH && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == TURQ && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == ARGE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == BRES && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == CHIL && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == COLO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == AFSU && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == MARO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == ISRA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == HONG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == TCHE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == POLO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == SLVQ && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == JAPO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == TAIW && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == AUST && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == URUG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == DAIB && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == DAIC && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == DAID && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == DAIF && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == EUOR && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == CETI && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == FRAN && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == DOTO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == ALLE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == AUTR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == BELG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == DANE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == ESPA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == GRBR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == GREC && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == HOLL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == IRLA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == ISLA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == ITAL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == PORT && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == SUIS && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == YOUG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == TURQ && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == CHIL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == AFSU && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == ISRA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == HONG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == TCHE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == POLO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == SLVQ && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == JAPO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == TAIW && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == AUST && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == URUG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == DAID && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == DAIF && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == EUOR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == FRAN && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == DOTO && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == ALLE && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == AUTR && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == BELG && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == DANE && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == ESPA && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == GRBR && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == GREC && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == HOLL && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == IRLA && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == ISLA && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == ITAL && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == PORT && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == SUIS && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == YOUG && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == TURQ && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == CHIL && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == AFSU && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == ISRA && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == HONG && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == TCHE && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == POLO && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == SLVQ && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == JAPO && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == TAIW && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == AUST && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == URUG && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == DAID && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == DAIF && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == EUOR && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == FRAN && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == DOTO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == ALLE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == AUTR && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == BELG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == DANE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == ESPA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == GRBR && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == GREC && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == HOLL && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == IRLA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == ISLA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == ITAL && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == PORT && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == SUIS && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == YOUG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == MAGH && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == TURQ && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == ARGE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == BRES && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == CHIL && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == COLO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == AFSU && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == MARO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == ISRA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == HONG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == TCHE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == POLO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == SLVQ && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == JAPO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == TAIW && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == AUST && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == URUG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == DAIB && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == DAIC && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == DAID && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == DAIF && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == EUOR && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == CETI && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == FRAN && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == DOTO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == ALLE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == AUTR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == BELG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == DANE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == ESPA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == FINL && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == GRBR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == GREC && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == HOLL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == IRLA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == ISLA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == ITAL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == NORV && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == PORT && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == SUED && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == SUIS && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == YOUG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == TURQ && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == CHIL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == AFSU && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == ISRA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == HONG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == TCHE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == POLO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == SLVQ && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == JAPO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == TAIW && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == AUST && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == URUG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == DAID && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == DAIF && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == EUOR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == FRAN && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == DOTO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == ALLE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == AUTR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == BELG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == DANE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == ESPA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == FINL && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == GRBR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == GREC && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == HOLL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == IRLA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == ISLA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == ITAL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == NORV && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == PORT && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == SUED && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == SUIS && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == YOUG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == TURQ && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == CHIL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == AFSU && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == ISRA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == HONG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == TCHE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == POLO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == SLVQ && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == JAPO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == TAIW && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == AUST && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == URUG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == DAID && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == DAIF && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == EUOR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == FRAN && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == DOTO && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == ALLE && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == AUTR && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == BELG && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == DANE && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == ESPA && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == FINL && var7 == GDFROI && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == GRBR && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == GREC && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == HOLL && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == IRLA && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == ISLA && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == ITAL && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == NORV && var7 == GDFROI && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == PORT && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == SUED && var7 == GDFROI && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == SUIS && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == YOUG && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == TURQ && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == CHIL && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == AFSU && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == ISRA && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == HONG && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == TCHE && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == POLO && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == SLVQ && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == JAPO && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == TAIW && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == AUST && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == URUG && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == DAID && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == DAIF && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == EUOR && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == FRAN && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == FRAN && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == DOTO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == DOTO && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == ALLE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == ALLE && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == AUTR && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == AUTR && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == BELG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == BELG && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == DANE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == DANE && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == ESPA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == ESPA && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == FINL && var7 == GDFROI && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == GRBR && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == GRBR && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == GREC && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == GREC && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == HOLL && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == HOLL && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == IRLA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == IRLA && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == ISLA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == ISLA && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == ITAL && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == ITAL && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == NORV && var7 == GDFROI && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == PORT && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == PORT && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == SUED && var7 == GDFROI && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == SUIS && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == SUIS && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == YOUG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == YOUG && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == TURQ && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == TURQ && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == CHIL && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == CHIL && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == AFSU && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == AFSU && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == ISRA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == ISRA && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == HONG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == HONG && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == TCHE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == TCHE && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == POLO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == POLO && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == SLVQ && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == SLVQ && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == JAPO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == JAPO && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == TAIW && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == TAIW && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == AUST && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == AUST && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == URUG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == URUG && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == DAID && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == DAID && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == DAIF && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == DAIF && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == EUOR && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == EUOR && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == FRAN && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == DOTO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == ALLE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == AUTR && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == BELG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == DANE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == ESPA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == FINL && var7 == GDFROI && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == GRBR && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == GREC && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == HOLL && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == IRLA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == ISLA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == ITAL && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == NORV && var7 == GDFROI && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == PORT && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == SUED && var7 == GDFROI && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == SUIS && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == YOUG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == MAGH && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == TURQ && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == ARGE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == BRES && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == CHIL && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == COLO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == AFSU && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == MARO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == ISRA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == HONG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == TCHE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == POLO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == SLVQ && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == JAPO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == TAIW && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == AUST && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == URUG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == DAIB && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == DAIC && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == DAID && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == DAIF && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == EUOR && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == CETI && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == FRAN && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == DOTO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == ALLE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == AUTR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == BELG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == DANE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == ESPA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == FINL && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == GRBR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == GREC && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == HOLL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == IRLA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == ISLA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == ITAL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == NORV && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == PORT && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == SUED && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == SUIS && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == YOUG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == TURQ && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == CHIL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == AFSU && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == ISRA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == HONG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == TCHE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == POLO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == SLVQ && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == JAPO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == TAIW && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == AUST && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == URUG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == DAID && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == DAIF && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == EUOR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == FRAN && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == DOTO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == ALLE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == AUTR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == BELG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == DANE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == ESPA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == FINL && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == GRBR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == GREC && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == HOLL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == IRLA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == ISLA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == ITAL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == NORV && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == PORT && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == SUED && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == SUIS && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == YOUG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == TURQ && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == CHIL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == AFSU && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == ISRA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == HONG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == TCHE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == POLO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == SLVQ && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == JAPO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == TAIW && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == AUST && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == URUG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == DAID && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == DAIF && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == EUOR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == FRAN && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == DOTO && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == ALLE && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == AUTR && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == BELG && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == DANE && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == ESPA && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == FINL && var7 == GDFROI && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == GRBR && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == GREC && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == HOLL && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == IRLA && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == ISLA && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == ITAL && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == NORV && var7 == GDFROI && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == PORT && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == SUED && var7 == GDFROI && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == SUIS && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == YOUG && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == TURQ && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == CHIL && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == AFSU && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == ISRA && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == HONG && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == TCHE && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == POLO && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == SLVQ && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == JAPO && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == TAIW && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == AUST && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == URUG && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == DAID && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == DAIF && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == EUOR && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == FRAN && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == FRAN && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == DOTO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == DOTO && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == ALLE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == ALLE && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == AUTR && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == AUTR && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == BELG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == BELG && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == DANE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == DANE && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == ESPA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == ESPA && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == FINL && var7 == GDFROI && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == GRBR && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == GRBR && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == GREC && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == GREC && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == HOLL && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == HOLL && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == IRLA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == IRLA && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == ISLA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == ISLA && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == ITAL && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == ITAL && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == NORV && var7 == GDFROI && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == PORT && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == PORT && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == SUED && var7 == GDFROI && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == SUIS && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == SUIS && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == YOUG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == YOUG && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == TURQ && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == TURQ && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == CHIL && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == CHIL && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == AFSU && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == AFSU && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == ISRA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == ISRA && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == HONG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == HONG && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == TCHE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == TCHE && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == POLO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == POLO && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == SLVQ && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == SLVQ && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == JAPO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == JAPO && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == TAIW && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == TAIW && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == AUST && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == AUST && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == URUG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == URUG && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == DAID && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == DAID && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == DAIF && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == DAIF && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == EUOR && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == EUOR && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == FRAN && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == DOTO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == ALLE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == AUTR && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == BELG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == DANE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == ESPA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == FINL && var7 == GDFROI && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == GRBR && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == GREC && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == HOLL && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == IRLA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == ISLA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == ITAL && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == NORV && var7 == GDFROI && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == PORT && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == SUED && var7 == GDFROI && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == SUIS && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == YOUG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == MAGH && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == TURQ && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == ARGE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == BRES && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == CHIL && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == COLO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == AFSU && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == MARO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == ISRA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == HONG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == TCHE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == POLO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == SLVQ && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == JAPO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) ) || ( ( var1 == D64 && var2 == E3 && var3 == MG && var5 == TAIW && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == AUST && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == URUG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == DAIB && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == DAIC && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == DAID && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == DAIF && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == EUOR && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == CETI && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == FRAN && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == DOTO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == ALLE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == AUTR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == BELG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == DANE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == ESPA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == FINL && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == GRBR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == GREC && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == HOLL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == IRLA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == ISLA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == ITAL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == NORV && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == PORT && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == SUED && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == SUIS && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == YOUG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == TURQ && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == CHIL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == AFSU && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == ISRA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == HONG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == TCHE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == POLO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == SLVQ && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == JAPO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == TAIW && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == AUST && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == URUG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == DAID && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == DAIF && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == EUOR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == FRAN && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == DOTO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == ALLE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == AUTR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == BELG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == DANE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == ESPA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == FINL && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == GRBR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == GREC && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == HOLL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == IRLA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == ISLA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == ITAL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == NORV && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == PORT && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == SUED && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == SUIS && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == YOUG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == TURQ && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == CHIL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == AFSU && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == ISRA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == HONG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == TCHE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == POLO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == SLVQ && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == JAPO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == TAIW && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == AUST && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == URUG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == DAID && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == DAIF && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == EUOR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == FRAN && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == DOTO && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == ALLE && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == AUTR && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == BELG && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == DANE && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == ESPA && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == FINL && var7 == GDFROI && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == GRBR && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == GREC && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == HOLL && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == IRLA && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == ISLA && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == ITAL && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == NORV && var7 == GDFROI && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == PORT && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == SUED && var7 == GDFROI && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == SUIS && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == YOUG && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == TURQ && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == CHIL && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == AFSU && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == ISRA && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == HONG && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == TCHE && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == POLO && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == SLVQ && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == JAPO && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == TAIW && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == AUST && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == URUG && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == DAID && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == DAIF && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == EUOR && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == FRAN && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == FRAN && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == DOTO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == DOTO && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == ALLE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == ALLE && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == AUTR && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == AUTR && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == BELG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == BELG && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == DANE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == DANE && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == ESPA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == ESPA && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == FINL && var7 == GDFROI && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == GRBR && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == GRBR && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == GREC && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == GREC && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == HOLL && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == HOLL && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == IRLA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == IRLA && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == ISLA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == ISLA && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == ITAL && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == ITAL && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == NORV && var7 == GDFROI && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == PORT && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == PORT && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == SUED && var7 == GDFROI && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == SUIS && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == SUIS && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == YOUG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == YOUG && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == TURQ && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == TURQ && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == CHIL && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == CHIL && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == AFSU && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == AFSU && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == ISRA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == ISRA && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == HONG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == HONG && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == TCHE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == TCHE && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == POLO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == POLO && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == SLVQ && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == SLVQ && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == JAPO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == JAPO && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == TAIW && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == TAIW && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == AUST && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == AUST && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == URUG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == URUG && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == DAID && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == DAID && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == DAIF && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == DAIF && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == EUOR && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == EUOR && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == FRAN && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == DOTO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == ALLE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == AUTR && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == BELG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == DANE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == ESPA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == FINL && var7 == GDFROI && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == GRBR && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == GREC && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == HOLL && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == IRLA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == ISLA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == ITAL && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == NORV && var7 == GDFROI && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == PORT && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == SUED && var7 == GDFROI && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == SUIS && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == YOUG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == MAGH && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == TURQ && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == ARGE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == BRES && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == CHIL && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == COLO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == AFSU && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == MARO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == ISRA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == HONG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == TCHE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == POLO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == SLVQ && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == JAPO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == TAIW && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == AUST && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == URUG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == DAIB && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == DAIC && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == DAID && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == DAIF && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == EUOR && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == CETI && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == FRAN && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == DOTO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == ALLE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == AUTR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == BELG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == DANE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == ESPA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == FINL && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == GRBR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == GREC && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == HOLL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == IRLA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == ISLA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == ITAL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == NORV && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == PORT && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == SUED && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == SUIS && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == YOUG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == TURQ && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == CHIL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == AFSU && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == ISRA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == HONG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == TCHE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == POLO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == SLVQ && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == JAPO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == TAIW && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == AUST && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == URUG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == DAID && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == DAIF && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == EUOR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == FRAN && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == DOTO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == ALLE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == AUTR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == BELG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == DANE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == ESPA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == FINL && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == GRBR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == GREC && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == HOLL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == IRLA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == ISLA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == ITAL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == NORV && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == PORT && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == SUED && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == SUIS && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == YOUG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == TURQ && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == CHIL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == AFSU && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == ISRA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == HONG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == TCHE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == POLO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == SLVQ && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == JAPO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == TAIW && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == AUST && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == URUG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == DAID && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == DAIF && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == EUOR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == FRAN && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == DOTO && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == ALLE && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == AUTR && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == BELG && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == DANE && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == ESPA && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == FINL && var7 == GDFROI && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == GRBR && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == GREC && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == HOLL && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == IRLA && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == ISLA && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == ITAL && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == NORV && var7 == GDFROI && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == PORT && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == SUED && var7 == GDFROI && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == SUIS && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == YOUG && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == TURQ && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == CHIL && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == AFSU && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == ISRA && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == HONG && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == TCHE && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == POLO && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == SLVQ && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == JAPO && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == TAIW && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == AUST && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == URUG && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == DAID && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == DAIF && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == EUOR && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == FRAN && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == FRAN && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == DOTO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == DOTO && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == ALLE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == ALLE && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == AUTR && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == AUTR && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == BELG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == BELG && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == DANE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == DANE && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == ESPA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == ESPA && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == FINL && var7 == GDFROI && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == GRBR && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == GRBR && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == GREC && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == GREC && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == HOLL && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == HOLL && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == IRLA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == IRLA && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == ISLA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == ISLA && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == ITAL && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == ITAL && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == NORV && var7 == GDFROI && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == PORT && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == PORT && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == SUED && var7 == GDFROI && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == SUIS && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == SUIS && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == YOUG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == YOUG && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == TURQ && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == TURQ && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == CHIL && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == CHIL && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == AFSU && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == AFSU && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == ISRA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == ISRA && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == HONG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == HONG && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == TCHE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == TCHE && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == POLO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == POLO && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == SLVQ && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == SLVQ && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == JAPO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == JAPO && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == TAIW && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == TAIW && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == AUST && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == AUST && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == URUG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == URUG && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == DAID && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == DAID && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == DAIF && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == DAIF && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == EUOR && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == EUOR && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == FRAN && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == DOTO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == ALLE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == AUTR && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == BELG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == DANE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == ESPA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == FINL && var7 == GDFROI && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == GRBR && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == GREC && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == HOLL && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == IRLA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == ISLA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == ITAL && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == NORV && var7 == GDFROI && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == PORT && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == SUED && var7 == GDFROI && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == SUIS && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == YOUG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == MAGH && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == TURQ && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == ARGE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == BRES && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == CHIL && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == COLO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == AFSU && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == MARO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == ISRA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == HONG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == TCHE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == POLO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == SLVQ && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == JAPO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == TAIW && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == AUST && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == URUG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == DAIB && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == DAIC && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == DAID && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == DAIF && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == EUOR && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == CETI && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == FRAN && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == FRAN && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == FRAN && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == DOTO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == DOTO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == DOTO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == ALLE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == ALLE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == ALLE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == AUTR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == AUTR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == AUTR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == BELG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == BELG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == BELG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == DANE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == DANE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == DANE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == ESPA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == ESPA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == ESPA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == FINL && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == FINL && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == FINL && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == GRBR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == GRBR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == GRBR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == GREC && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == GREC && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == GREC && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == HOLL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == HOLL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == HOLL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == IRLA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == IRLA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == IRLA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == ISLA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == ISLA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == ISLA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == ITAL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == ITAL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == ITAL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == NORV && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == NORV && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == NORV && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == PORT && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == PORT && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == PORT && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == SUED && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == SUED && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == SUED && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == SUIS && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == SUIS && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == SUIS && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == YOUG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == YOUG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == YOUG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == CHIL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == CHIL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == CHIL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == AFSU && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == AFSU && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == AFSU && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == ISRA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == ISRA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == ISRA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == HONG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == HONG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == HONG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == TCHE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == TCHE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == TCHE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == POLO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == POLO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == POLO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == SLVQ && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == SLVQ && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == SLVQ && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == JAPO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == JAPO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == JAPO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == TAIW && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == TAIW && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == TAIW && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == AUST && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == AUST && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == AUST && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == URUG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == URUG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == URUG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == DAID && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == DAID && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == DAID && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == DAIF && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == DAIF && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == DAIF && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == EUOR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == EUOR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == EUOR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) ) || ( ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == FRAN && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == FRAN && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == FRAN && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == DOTO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == DOTO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == DOTO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == ALLE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == ALLE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == ALLE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == AUTR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == AUTR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == AUTR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == BELG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == BELG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == BELG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == DANE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == DANE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == DANE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == ESPA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == ESPA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == ESPA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == FINL && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == FINL && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == FINL && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == GRBR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == GRBR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == GRBR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == GREC && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == GREC && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == GREC && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == HOLL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == HOLL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == HOLL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == IRLA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == IRLA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == IRLA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == ISLA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == ISLA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == ISLA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == ITAL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == ITAL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == ITAL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == NORV && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == NORV && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == NORV && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == PORT && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == PORT && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == PORT && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == SUED && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == SUED && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == SUED && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == SUIS && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == SUIS && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == SUIS && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == YOUG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == YOUG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == YOUG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == CHIL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == CHIL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == CHIL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == AFSU && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == AFSU && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == AFSU && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == ISRA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == ISRA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == ISRA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == HONG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == HONG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == HONG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == TCHE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == TCHE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == TCHE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == POLO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == POLO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == POLO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == SLVQ && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == SLVQ && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == SLVQ && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == JAPO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == JAPO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == JAPO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == TAIW && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == TAIW && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == TAIW && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == AUST && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == AUST && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == AUST && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == URUG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == URUG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == URUG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == DAID && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == DAID && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == DAID && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == DAIF && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == DAIF && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == DAIF && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == EUOR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == EUOR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == EUOR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == FRAN && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == FRAN && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == FRAN && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == DOTO && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == DOTO && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == DOTO && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == ALLE && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == ALLE && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == ALLE && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == AUTR && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == AUTR && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == AUTR && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == BELG && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == BELG && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == BELG && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == DANE && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == DANE && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == DANE && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == ESPA && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == ESPA && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == ESPA && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == FINL && var7 == GDFROI && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == FINL && var7 == GDFROI && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == FINL && var7 == GDFROI && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == GRBR && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == GRBR && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == GRBR && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == GREC && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == GREC && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == GREC && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == HOLL && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == HOLL && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == HOLL && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == IRLA && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == IRLA && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == IRLA && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == ISLA && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == ISLA && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == ISLA && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == ITAL && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == ITAL && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == ITAL && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == NORV && var7 == GDFROI && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == NORV && var7 == GDFROI && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == NORV && var7 == GDFROI && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == PORT && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == PORT && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == PORT && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == SUED && var7 == GDFROI && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == SUED && var7 == GDFROI && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == SUED && var7 == GDFROI && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == SUIS && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == SUIS && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == SUIS && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == YOUG && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == YOUG && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == YOUG && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == CHIL && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == CHIL && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == CHIL && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == AFSU && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == AFSU && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == AFSU && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == ISRA && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == ISRA && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == ISRA && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == HONG && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == HONG && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == HONG && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == TCHE && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == TCHE && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == TCHE && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == POLO && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == POLO && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == POLO && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == SLVQ && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == SLVQ && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == SLVQ && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == JAPO && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == JAPO && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == JAPO && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == TAIW && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == TAIW && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == TAIW && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == AUST && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == AUST && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == AUST && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == URUG && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == URUG && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == URUG && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == DAID && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == DAID && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == DAID && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == DAIF && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == DAIF && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == DAIF && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == EUOR && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == EUOR && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == EUOR && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == FRAN && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == FRAN && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == FRAN && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == DOTO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == DOTO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == DOTO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == ALLE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == ALLE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == ALLE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == AUTR && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == AUTR && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == AUTR && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == BELG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == BELG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == BELG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == DANE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == DANE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == DANE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == ESPA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == ESPA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == ESPA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == FINL && var7 == GDFROI && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == FINL && var7 == GDFROI && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == FINL && var7 == GDFROI && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == GRBR && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == GRBR && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == GRBR && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == GREC && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == GREC && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == GREC && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == HOLL && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == HOLL && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == HOLL && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == IRLA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == IRLA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == IRLA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == ISLA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == ISLA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == ISLA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == ITAL && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == ITAL && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == ITAL && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == NORV && var7 == GDFROI && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == NORV && var7 == GDFROI && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == NORV && var7 == GDFROI && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == PORT && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == PORT && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == PORT && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == SUED && var7 == GDFROI && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == SUED && var7 == GDFROI && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == SUED && var7 == GDFROI && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == SUIS && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == SUIS && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == SUIS && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == YOUG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == YOUG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == YOUG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == MAGH && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == MAGH && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == MAGH && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == TURQ && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == TURQ && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == TURQ && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == ARGE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == ARGE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == ARGE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == BRES && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == BRES && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == BRES && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == CHIL && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == CHIL && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == CHIL && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == COLO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == COLO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == COLO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == AFSU && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == AFSU && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == AFSU && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == MARO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == MARO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == MARO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == ISRA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == ISRA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == ISRA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == HONG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == HONG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == HONG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == TCHE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == TCHE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == TCHE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == POLO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == POLO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == POLO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == SLVQ && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == SLVQ && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == SLVQ && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == JAPO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == JAPO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == JAPO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == TAIW && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == TAIW && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == TAIW && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == AUST && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == AUST && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == AUST && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == URUG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == URUG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == URUG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == DAIB && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == DAIB && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == DAIB && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == DAIC && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == DAIC && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == DAIC && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == DAID && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == DAID && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == DAID && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == DAIF && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == DAIF && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == DAIF && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == EUOR && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == EUOR && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == EUOR && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == CETI && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == CETI && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == CETI && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) ) || ( ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == FRAN && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == FRAN && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == FRAN && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == DOTO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == DOTO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == DOTO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == ALLE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == ALLE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == ALLE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == AUTR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == AUTR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == AUTR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == BELG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == BELG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == BELG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == DANE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == DANE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == DANE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == ESPA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == ESPA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == ESPA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == FINL && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == FINL && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == FINL && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == GRBR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == GRBR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == GRBR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == GREC && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == GREC && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == GREC && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == HOLL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == HOLL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == HOLL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == IRLA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == IRLA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == IRLA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == ISLA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == ISLA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == ISLA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == ITAL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == ITAL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == ITAL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == NORV && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == NORV && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == NORV && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == PORT && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == PORT && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == PORT && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == SUED && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == SUED && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == SUED && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == SUIS && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == SUIS && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == SUIS && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == YOUG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == YOUG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == YOUG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == CHIL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == CHIL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == CHIL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == AFSU && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == AFSU && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == AFSU && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == ISRA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == ISRA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == ISRA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == HONG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == HONG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == HONG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == TCHE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == TCHE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == TCHE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == POLO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == POLO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == POLO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == SLVQ && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == SLVQ && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == SLVQ && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == JAPO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == JAPO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == JAPO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == TAIW && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == TAIW && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == TAIW && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == AUST && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == AUST && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == AUST && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == URUG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == URUG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == URUG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == DAID && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == DAID && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == DAID && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == DAIF && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == DAIF && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == DAIF && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == EUOR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == EUOR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == EUOR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == FRAN && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == FRAN && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == FRAN && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == DOTO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == DOTO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == DOTO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == ALLE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == ALLE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == ALLE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == AUTR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == AUTR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == AUTR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == BELG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == BELG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == BELG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == DANE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == DANE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == DANE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == ESPA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == ESPA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == ESPA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == FINL && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == FINL && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == FINL && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == GRBR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == GRBR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == GRBR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == GREC && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == GREC && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == GREC && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == HOLL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == HOLL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == HOLL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == IRLA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == IRLA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == IRLA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == ISLA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == ISLA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == ISLA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == ITAL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == ITAL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == ITAL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == NORV && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == NORV && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == NORV && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == PORT && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == PORT && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == PORT && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == SUED && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == SUED && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == SUED && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == SUIS && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == SUIS && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == SUIS && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == YOUG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == YOUG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == YOUG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == CHIL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == CHIL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == CHIL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == AFSU && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == AFSU && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == AFSU && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == ISRA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == ISRA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == ISRA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == HONG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == HONG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == HONG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == TCHE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == TCHE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == TCHE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == POLO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == POLO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == POLO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == SLVQ && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == SLVQ && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == SLVQ && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == JAPO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == JAPO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == JAPO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == TAIW && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == TAIW && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == TAIW && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == AUST && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == AUST && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == AUST && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == URUG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == URUG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == URUG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == DAID && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == DAID && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == DAID && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == DAIF && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == DAIF && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == DAIF && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == EUOR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == EUOR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == EUOR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == FRAN && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == FRAN && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == FRAN && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == DOTO && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == DOTO && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == DOTO && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == ALLE && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == ALLE && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == ALLE && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == AUTR && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == AUTR && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == AUTR && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == BELG && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == BELG && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == BELG && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == DANE && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == DANE && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == DANE && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == ESPA && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == ESPA && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == ESPA && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == FINL && var7 == GDFROI && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == FINL && var7 == GDFROI && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == FINL && var7 == GDFROI && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == GRBR && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == GRBR && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == GRBR && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == GREC && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == GREC && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == GREC && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == HOLL && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == HOLL && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == HOLL && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == IRLA && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == IRLA && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == IRLA && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == ISLA && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == ISLA && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == ISLA && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == ITAL && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == ITAL && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == ITAL && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == NORV && var7 == GDFROI && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == NORV && var7 == GDFROI && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == NORV && var7 == GDFROI && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == PORT && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == PORT && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == PORT && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == SUED && var7 == GDFROI && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == SUED && var7 == GDFROI && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == SUED && var7 == GDFROI && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == SUIS && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == SUIS && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == SUIS && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == YOUG && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == YOUG && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == YOUG && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == CHIL && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == CHIL && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == CHIL && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == AFSU && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == AFSU && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == AFSU && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == ISRA && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == ISRA && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == ISRA && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == HONG && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == HONG && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == HONG && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == TCHE && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == TCHE && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == TCHE && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == POLO && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == POLO && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == POLO && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == SLVQ && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == SLVQ && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == SLVQ && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == JAPO && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == JAPO && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == JAPO && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == TAIW && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == TAIW && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == TAIW && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == AUST && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == AUST && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == AUST && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == URUG && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == URUG && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == URUG && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == DAID && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == DAID && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == DAID && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == DAIF && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == DAIF && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == DAIF && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == EUOR && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == EUOR && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == EUOR && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == FRAN && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == FRAN && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == FRAN && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == FRAN && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == DOTO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == DOTO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == DOTO && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == DOTO && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == ALLE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == ALLE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == ALLE && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == ALLE && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == AUTR && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == AUTR && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == AUTR && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == AUTR && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == BELG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == BELG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == BELG && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == BELG && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == DANE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == DANE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == DANE && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == DANE && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == ESPA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == ESPA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == ESPA && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == ESPA && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == FINL && var7 == GDFROI && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == FINL && var7 == GDFROI && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == GRBR && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == GRBR && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == GRBR && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == GRBR && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == GREC && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == GREC && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == GREC && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == GREC && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == HOLL && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == HOLL && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == HOLL && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == HOLL && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == IRLA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == IRLA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == IRLA && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == IRLA && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == ISLA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == ISLA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == ISLA && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == ISLA && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == ITAL && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == ITAL && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == ITAL && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == ITAL && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == NORV && var7 == GDFROI && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == NORV && var7 == GDFROI && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == PORT && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == PORT && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == PORT && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == PORT && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == SUED && var7 == GDFROI && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == SUED && var7 == GDFROI && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == SUIS && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == SUIS && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == SUIS && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == SUIS && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == YOUG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == YOUG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == YOUG && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == YOUG && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == CHIL && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == CHIL && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == CHIL && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == CHIL && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == AFSU && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == AFSU && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == AFSU && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == AFSU && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == ISRA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == ISRA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == ISRA && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == ISRA && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == HONG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == HONG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == HONG && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == HONG && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == TCHE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == TCHE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == TCHE && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == TCHE && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == POLO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == POLO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == POLO && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == POLO && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == SLVQ && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == SLVQ && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == SLVQ && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == SLVQ && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == JAPO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == JAPO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == JAPO && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == JAPO && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == TAIW && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == TAIW && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == TAIW && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == TAIW && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == AUST && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == AUST && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == AUST && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == AUST && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == URUG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == URUG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == URUG && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == URUG && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == DAID && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == DAID && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == DAID && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == DAID && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == DAIF && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == DAIF && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == DAIF && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == DAIF && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == EUOR && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == EUOR && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == EUOR && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == EUOR && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) ) || ( ( var1 == B64 && var2 == E2 && var3 == MN && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == FRAN && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == FRAN && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == FRAN && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == DOTO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == DOTO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == DOTO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == ALLE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == ALLE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == ALLE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == AUTR && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == AUTR && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == AUTR && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == BELG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == BELG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == BELG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == DANE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == DANE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == DANE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == ESPA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == ESPA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == ESPA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == FINL && var7 == GDFROI && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == FINL && var7 == GDFROI && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == FINL && var7 == GDFROI && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == GRBR && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == GRBR && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == GRBR && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == GREC && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == GREC && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == GREC && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == HOLL && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == HOLL && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == HOLL && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == IRLA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == IRLA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == IRLA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == ISLA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == ISLA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == ISLA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == ITAL && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == ITAL && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == ITAL && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == NORV && var7 == GDFROI && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == NORV && var7 == GDFROI && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == NORV && var7 == GDFROI && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == PORT && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == PORT && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == PORT && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == SUED && var7 == GDFROI && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == SUED && var7 == GDFROI && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == SUED && var7 == GDFROI && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == SUIS && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == SUIS && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == SUIS && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == YOUG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == YOUG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == YOUG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == MAGH && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == MAGH && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == MAGH && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == TURQ && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == TURQ && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == TURQ && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == ARGE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == ARGE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == ARGE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == BRES && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == BRES && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == BRES && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == CHIL && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == CHIL && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == CHIL && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == COLO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == COLO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == COLO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == AFSU && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == AFSU && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == AFSU && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == MARO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == MARO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == MARO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == ISRA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == ISRA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == ISRA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == HONG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == HONG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == HONG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == TCHE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == TCHE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == TCHE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == POLO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == POLO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == POLO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == SLVQ && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == SLVQ && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == SLVQ && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == JAPO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == JAPO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == JAPO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == TAIW && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == TAIW && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == TAIW && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == AUST && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == AUST && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == AUST && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == URUG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == URUG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == URUG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == DAIB && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == DAIB && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == DAIB && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == DAIC && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == DAIC && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == DAIC && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == DAID && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == DAID && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == DAID && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == DAIF && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == DAIF && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == DAIF && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == EUOR && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == EUOR && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == EUOR && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == CETI && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == CETI && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == CETI && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == FRAN && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == FRAN && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == FRAN && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == DOTO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == DOTO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == DOTO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == ALLE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == ALLE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == ALLE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == AUTR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == AUTR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == AUTR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == BELG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == BELG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == BELG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == DANE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == DANE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == DANE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == ESPA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == ESPA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == ESPA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == FINL && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == FINL && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == FINL && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == GRBR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == GRBR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == GRBR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == GREC && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == GREC && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == GREC && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == HOLL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == HOLL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == HOLL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == IRLA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == IRLA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == IRLA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == ISLA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == ISLA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == ISLA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == ITAL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == ITAL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == ITAL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == NORV && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == NORV && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == NORV && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == PORT && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == PORT && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == PORT && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == SUED && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == SUED && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == SUED && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == SUIS && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == SUIS && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == SUIS && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == YOUG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == YOUG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == YOUG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == CHIL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == CHIL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == CHIL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == AFSU && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == AFSU && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == AFSU && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == ISRA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == ISRA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == ISRA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == HONG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == HONG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == HONG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == TCHE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == TCHE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == TCHE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == POLO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == POLO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == POLO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == SLVQ && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == SLVQ && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == SLVQ && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == JAPO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == JAPO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == JAPO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == TAIW && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == TAIW && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == TAIW && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == AUST && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == AUST && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == AUST && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == URUG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == URUG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == URUG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == DAID && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == DAID && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == DAID && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == DAIF && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == DAIF && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == DAIF && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == EUOR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == EUOR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == EUOR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == FRAN && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == FRAN && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == FRAN && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == DOTO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == DOTO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == DOTO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == ALLE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == ALLE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == ALLE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == AUTR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == AUTR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == AUTR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == BELG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == BELG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == BELG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == DANE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == DANE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == DANE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == ESPA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == ESPA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == ESPA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == FINL && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == FINL && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == FINL && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == GRBR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == GRBR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == GRBR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == GREC && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == GREC && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == GREC && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == HOLL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == HOLL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == HOLL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == IRLA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == IRLA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == IRLA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == ISLA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == ISLA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == ISLA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == ITAL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == ITAL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == ITAL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == NORV && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == NORV && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == NORV && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == PORT && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == PORT && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == PORT && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == SUED && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == SUED && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == SUED && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == SUIS && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == SUIS && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == SUIS && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == YOUG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == YOUG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == YOUG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == CHIL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == CHIL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == CHIL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == AFSU && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == AFSU && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == AFSU && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == ISRA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == ISRA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == ISRA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == HONG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == HONG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == HONG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == TCHE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == TCHE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == TCHE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == POLO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == POLO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == POLO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == SLVQ && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == SLVQ && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == SLVQ && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == JAPO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == JAPO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == JAPO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == TAIW && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == TAIW && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == TAIW && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == AUST && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == AUST && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == AUST && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == URUG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == URUG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == URUG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == DAID && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == DAID && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == DAID && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == DAIF && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == DAIF && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == DAIF && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == EUOR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == EUOR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == EUOR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == FRAN && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == FRAN && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == FRAN && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == DOTO && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == DOTO && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == DOTO && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == ALLE && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == ALLE && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == ALLE && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == AUTR && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == AUTR && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == AUTR && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == BELG && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == BELG && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == BELG && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == DANE && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == DANE && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == DANE && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == ESPA && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == ESPA && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == ESPA && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == FINL && var7 == GDFROI && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == FINL && var7 == GDFROI && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == FINL && var7 == GDFROI && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == GRBR && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == GRBR && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == GRBR && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == GREC && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == GREC && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == GREC && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == HOLL && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == HOLL && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == HOLL && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == IRLA && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == IRLA && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == IRLA && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == ISLA && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == ISLA && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == ISLA && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == ITAL && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == ITAL && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == ITAL && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == NORV && var7 == GDFROI && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == NORV && var7 == GDFROI && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == NORV && var7 == GDFROI && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == PORT && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == PORT && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == PORT && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == SUED && var7 == GDFROI && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == SUED && var7 == GDFROI && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == SUED && var7 == GDFROI && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == SUIS && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == SUIS && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == SUIS && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == YOUG && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == YOUG && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == YOUG && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == CHIL && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == CHIL && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == CHIL && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == AFSU && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == AFSU && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == AFSU && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == ISRA && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == ISRA && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == ISRA && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == HONG && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == HONG && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == HONG && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == TCHE && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == TCHE && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == TCHE && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == POLO && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == POLO && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == POLO && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == SLVQ && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == SLVQ && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == SLVQ && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == JAPO && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == JAPO && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == JAPO && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == TAIW && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == TAIW && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == TAIW && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == AUST && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == AUST && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == AUST && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == URUG && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == URUG && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == URUG && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == DAID && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == DAID && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == DAID && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == DAIF && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == DAIF && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == DAIF && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == EUOR && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == EUOR && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == EUOR && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == FRAN && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == FRAN && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == FRAN && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == FRAN && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == DOTO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == DOTO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == DOTO && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == DOTO && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == ALLE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == ALLE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == ALLE && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == ALLE && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == AUTR && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == AUTR && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == AUTR && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == AUTR && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == BELG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == BELG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == BELG && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == BELG && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == DANE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == DANE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == DANE && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == DANE && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == ESPA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == ESPA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == ESPA && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == ESPA && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == FINL && var7 == GDFROI && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == FINL && var7 == GDFROI && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == GRBR && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == GRBR && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == GRBR && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == GRBR && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == GREC && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == GREC && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == GREC && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == GREC && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == HOLL && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == HOLL && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == HOLL && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == HOLL && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == IRLA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == IRLA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == IRLA && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == IRLA && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == ISLA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == ISLA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == ISLA && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == ISLA && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == ITAL && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == ITAL && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == ITAL && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == ITAL && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == NORV && var7 == GDFROI && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == NORV && var7 == GDFROI && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == PORT && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == PORT && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == PORT && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == PORT && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == SUED && var7 == GDFROI && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == SUED && var7 == GDFROI && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == SUIS && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == SUIS && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == SUIS && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == SUIS && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == YOUG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == YOUG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == YOUG && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == YOUG && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == CHIL && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == CHIL && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == CHIL && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == CHIL && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == AFSU && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == AFSU && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == AFSU && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == AFSU && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == ISRA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == ISRA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == ISRA && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == ISRA && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == HONG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == HONG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == HONG && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == HONG && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == TCHE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == TCHE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == TCHE && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == TCHE && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == POLO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == POLO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == POLO && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == POLO && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == SLVQ && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == SLVQ && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == SLVQ && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == SLVQ && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == JAPO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == JAPO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == JAPO && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == JAPO && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == TAIW && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == TAIW && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == TAIW && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == TAIW && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == AUST && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == AUST && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == AUST && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == AUST && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == URUG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == URUG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == URUG && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == URUG && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == DAID && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == DAID && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == DAID && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == DAID && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == DAIF && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == DAIF && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == DAIF && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == DAIF && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == EUOR && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == EUOR && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == EUOR && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == EUOR && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) ) || ( ( var1 == B64 && var2 == E3 && var3 == MK && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == FRAN && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == FRAN && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == FRAN && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == DOTO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == DOTO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == DOTO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == ALLE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == ALLE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == ALLE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == AUTR && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == AUTR && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == AUTR && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == BELG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == BELG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == BELG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == DANE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == DANE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == DANE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == ESPA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == ESPA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == ESPA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == FINL && var7 == GDFROI && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == FINL && var7 == GDFROI && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == FINL && var7 == GDFROI && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == GRBR && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == GRBR && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == GRBR && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == GREC && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == GREC && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == GREC && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == HOLL && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == HOLL && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == HOLL && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == IRLA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == IRLA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == IRLA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == ISLA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == ISLA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == ISLA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == ITAL && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == ITAL && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == ITAL && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == NORV && var7 == GDFROI && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == NORV && var7 == GDFROI && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == NORV && var7 == GDFROI && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == PORT && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == PORT && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == PORT && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == SUED && var7 == GDFROI && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == SUED && var7 == GDFROI && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == SUED && var7 == GDFROI && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == SUIS && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == SUIS && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == SUIS && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == YOUG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == YOUG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == YOUG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == MAGH && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == MAGH && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == MAGH && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == TURQ && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == TURQ && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == TURQ && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == ARGE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == ARGE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == ARGE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == BRES && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == BRES && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == BRES && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == CHIL && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == CHIL && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == CHIL && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == COLO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == COLO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == COLO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == AFSU && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == AFSU && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == AFSU && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == MARO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == MARO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == MARO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == ISRA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == ISRA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == ISRA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == HONG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == HONG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == HONG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == TCHE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == TCHE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == TCHE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == POLO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == POLO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == POLO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == SLVQ && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == SLVQ && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == SLVQ && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == JAPO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == JAPO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == JAPO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == TAIW && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == TAIW && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == TAIW && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == AUST && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == AUST && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == AUST && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == URUG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == URUG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == URUG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == DAIB && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == DAIB && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == DAIB && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == DAIC && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == DAIC && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == DAIC && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == DAID && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == DAID && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == DAID && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == DAIF && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == DAIF && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == DAIF && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == EUOR && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == EUOR && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == EUOR && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == CETI && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == CETI && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == CETI && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == FRAN && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == FRAN && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == FRAN && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == DOTO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == DOTO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == DOTO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == ALLE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == ALLE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == ALLE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == AUTR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == AUTR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == AUTR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == BELG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == BELG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == BELG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == DANE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == DANE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == DANE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == ESPA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == ESPA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == ESPA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == FINL && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == FINL && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == FINL && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == GRBR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == GRBR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == GRBR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == GREC && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == GREC && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == GREC && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == HOLL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == HOLL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == HOLL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == IRLA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == IRLA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == IRLA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == ISLA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == ISLA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == ISLA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == ITAL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == ITAL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == ITAL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == NORV && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == NORV && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == NORV && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == PORT && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == PORT && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == PORT && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == SUED && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == SUED && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == SUED && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == SUIS && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == SUIS && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == SUIS && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == YOUG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == YOUG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == YOUG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == CHIL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == CHIL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == CHIL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == AFSU && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == AFSU && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == AFSU && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == ISRA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == ISRA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == ISRA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == HONG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == HONG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == HONG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == TCHE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == TCHE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == TCHE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == POLO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == POLO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == POLO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == SLVQ && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == SLVQ && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == SLVQ && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == JAPO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == JAPO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == JAPO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == TAIW && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == TAIW && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == TAIW && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == AUST && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == AUST && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == AUST && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == URUG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == URUG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == URUG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == DAID && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == DAID && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == DAID && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == DAIF && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == DAIF && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == DAIF && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == EUOR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == EUOR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == EUOR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == FRAN && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == FRAN && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == FRAN && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == DOTO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == DOTO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == DOTO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == ALLE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == ALLE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == ALLE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == AUTR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == AUTR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == AUTR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == BELG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == BELG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == BELG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == DANE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == DANE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == DANE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == ESPA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == ESPA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == ESPA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == FINL && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == FINL && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == FINL && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == GRBR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == GRBR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == GRBR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == GREC && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == GREC && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == GREC && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == HOLL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == HOLL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == HOLL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == IRLA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == IRLA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == IRLA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == ISLA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == ISLA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == ISLA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == ITAL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == ITAL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == ITAL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == NORV && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == NORV && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == NORV && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == PORT && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == PORT && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == PORT && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == SUED && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == SUED && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == SUED && var7 == GDFROI && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == SUIS && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == SUIS && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == SUIS && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == YOUG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == YOUG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == YOUG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == CHIL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == CHIL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == CHIL && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == AFSU && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == AFSU && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == AFSU && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == ISRA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == ISRA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == ISRA && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == HONG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == HONG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == HONG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == TCHE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == TCHE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == TCHE && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == POLO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == POLO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == POLO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == SLVQ && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == SLVQ && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == SLVQ && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == JAPO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == JAPO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == JAPO && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == TAIW && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == TAIW && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == TAIW && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == AUST && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == AUST && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == AUST && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == URUG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == URUG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == URUG && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == DAID && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == DAID && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == DAID && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == DAIF && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == DAIF && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == DAIF && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == EUOR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == EUOR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == EUOR && var7 == TEMP && var40 == SEMBRY && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == FRAN && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == FRAN && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == FRAN && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == DOTO && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == DOTO && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == DOTO && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == ALLE && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == ALLE && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == ALLE && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == AUTR && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == AUTR && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == AUTR && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == BELG && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == BELG && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == BELG && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == DANE && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == DANE && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == DANE && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == ESPA && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == ESPA && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == ESPA && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == FINL && var7 == GDFROI && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == FINL && var7 == GDFROI && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == FINL && var7 == GDFROI && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == GRBR && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == GRBR && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == GRBR && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == GREC && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == GREC && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == GREC && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == HOLL && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == HOLL && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == HOLL && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == IRLA && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == IRLA && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == IRLA && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == ISLA && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == ISLA && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == ISLA && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == ITAL && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == ITAL && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == ITAL && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == NORV && var7 == GDFROI && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == NORV && var7 == GDFROI && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == NORV && var7 == GDFROI && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == PORT && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == PORT && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == PORT && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == SUED && var7 == GDFROI && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == SUED && var7 == GDFROI && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == SUED && var7 == GDFROI && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == SUIS && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == SUIS && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == SUIS && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == YOUG && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == YOUG && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == YOUG && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) ) || ( ( var1 == B64 && var2 == E5 && var3 == MD && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == CHIL && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == CHIL && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == CHIL && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == AFSU && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == AFSU && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == AFSU && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == ISRA && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == ISRA && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == ISRA && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == HONG && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == HONG && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == HONG && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == TCHE && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == TCHE && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == TCHE && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == POLO && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == POLO && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == POLO && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == SLVQ && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == SLVQ && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == SLVQ && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == JAPO && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == JAPO && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == JAPO && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == TAIW && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == TAIW && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == TAIW && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == AUST && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == AUST && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == AUST && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == URUG && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == URUG && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == URUG && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == DAID && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == DAID && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == DAID && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == DAIF && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == DAIF && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == DAIF && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == EUOR && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == EUOR && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == EUOR && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == FRAN && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == FRAN && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == FRAN && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == FRAN && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == DOTO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == DOTO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == DOTO && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == DOTO && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == ALLE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == ALLE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == ALLE && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == ALLE && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == AUTR && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == AUTR && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == AUTR && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == AUTR && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == BELG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == BELG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == BELG && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == BELG && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == DANE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == DANE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == DANE && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == DANE && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == ESPA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == ESPA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == ESPA && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == ESPA && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == FINL && var7 == GDFROI && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == FINL && var7 == GDFROI && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == GRBR && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == GRBR && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == GRBR && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == GRBR && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == GREC && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == GREC && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == GREC && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == GREC && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == HOLL && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == HOLL && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == HOLL && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == HOLL && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == IRLA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == IRLA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == IRLA && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == IRLA && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == ISLA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == ISLA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == ISLA && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == ISLA && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == ITAL && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == ITAL && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == ITAL && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == ITAL && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == NORV && var7 == GDFROI && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == NORV && var7 == GDFROI && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == PORT && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == PORT && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == PORT && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == PORT && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == SUED && var7 == GDFROI && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == SUED && var7 == GDFROI && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == SUIS && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == SUIS && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == SUIS && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == SUIS && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == YOUG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == YOUG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == YOUG && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == YOUG && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == CHIL && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == CHIL && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == CHIL && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == CHIL && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == AFSU && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == AFSU && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == AFSU && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == AFSU && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == ISRA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == ISRA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == ISRA && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == ISRA && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == HONG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == HONG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == HONG && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == HONG && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == TCHE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == TCHE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == TCHE && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == TCHE && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == POLO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == POLO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == POLO && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == POLO && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == SLVQ && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == SLVQ && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == SLVQ && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == SLVQ && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == JAPO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == JAPO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == JAPO && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == JAPO && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == TAIW && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == TAIW && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == TAIW && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == TAIW && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == AUST && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == AUST && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == AUST && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == AUST && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == URUG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == URUG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == URUG && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == URUG && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == DAID && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == DAID && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == DAID && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == DAID && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == DAIF && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == DAIF && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == DAIF && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == DAIF && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == EUOR && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == EUOR && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == EUOR && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == EUOR && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == FRAN && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == FRAN && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == FRAN && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == DOTO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == DOTO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == DOTO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == ALLE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == ALLE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == ALLE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == AUTR && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == AUTR && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == AUTR && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == BELG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == BELG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == BELG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == DANE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == DANE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == DANE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == ESPA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == ESPA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == ESPA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == FINL && var7 == GDFROI && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == FINL && var7 == GDFROI && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == FINL && var7 == GDFROI && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == GRBR && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == GRBR && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == GRBR && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == GREC && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == GREC && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == GREC && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == HOLL && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == HOLL && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == HOLL && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == IRLA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == IRLA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == IRLA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == ISLA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == ISLA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == ISLA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == ITAL && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == ITAL && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == ITAL && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == NORV && var7 == GDFROI && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == NORV && var7 == GDFROI && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == NORV && var7 == GDFROI && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == PORT && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == PORT && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == PORT && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == SUED && var7 == GDFROI && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == SUED && var7 == GDFROI && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == SUED && var7 == GDFROI && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == SUIS && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == SUIS && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == SUIS && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == YOUG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == YOUG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == YOUG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == MAGH && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == MAGH && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == MAGH && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == TURQ && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == TURQ && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == TURQ && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == ARGE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == ARGE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == ARGE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == BRES && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == BRES && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == BRES && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == CHIL && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == CHIL && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == CHIL && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == COLO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == COLO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == COLO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == AFSU && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == AFSU && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == AFSU && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == MARO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == MARO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == MARO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == ISRA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == ISRA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == ISRA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == HONG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == HONG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == HONG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == TCHE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == TCHE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == TCHE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == POLO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == POLO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == POLO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == SLVQ && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == SLVQ && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == SLVQ && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == JAPO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == JAPO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == JAPO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == TAIW && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == TAIW && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == TAIW && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == AUST && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == AUST && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == AUST && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == URUG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == URUG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == URUG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == DAIB && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == DAIB && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == DAIB && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == DAIC && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == DAIC && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == DAIC && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == DAID && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == DAID && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == DAID && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == DAIF && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == DAIF && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == DAIF && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == EUOR && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == EUOR && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == EUOR && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == CETI && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == CETI && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == CETI && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == FINL && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == NORV && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == SUED && var7 == GDFROI && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == CPTECO ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == AZE ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == FRAN && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == DOTO && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == ALLE && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == AUTR && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == BELG && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == DANE && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == ESPA && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == GRBR && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == GREC && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == HOLL && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == IRLA && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == ISLA && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == ITAL && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == PORT && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == SUIS && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == YOUG && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == CHIL && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == AFSU && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == ISRA && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == HONG && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == TCHE && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == POLO && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == SLVQ && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == JAPO && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == TAIW && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == AUST && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == URUG && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == DAID && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == DAIF && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == EUOR && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == FRAN && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == FRAN && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == DOTO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == DOTO && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == ALLE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == ALLE && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == AUTR && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == AUTR && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == BELG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == BELG && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == DANE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == DANE && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == ESPA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == ESPA && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == GRBR && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == GRBR && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == GREC && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == GREC && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == HOLL && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == HOLL && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == IRLA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == IRLA && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == ISLA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == ISLA && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == ITAL && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == ITAL && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == PORT && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == PORT && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == SUIS && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == SUIS && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == YOUG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == YOUG && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == CHIL && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == CHIL && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == AFSU && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == AFSU && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == ISRA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == ISRA && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == HONG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == HONG && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == TCHE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == TCHE && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == POLO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == POLO && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == SLVQ && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == SLVQ && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == JAPO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == JAPO && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == TAIW && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == TAIW && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == AUST && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == AUST && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == URUG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == URUG && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == DAID && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == DAID && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == DAIF && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == DAIF && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == EUOR && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == EUOR && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == FRAN && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == DOTO && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == ALLE && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == AUTR && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == BELG && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == DANE && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == ESPA && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == GRBR && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == GREC && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == HOLL && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == IRLA && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == ISLA && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == ITAL && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == PORT && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == SUIS && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == YOUG && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == CHIL && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == AFSU && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == ISRA && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == HONG && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == TCHE && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == POLO && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == SLVQ && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == JAPO && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == TAIW && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == AUST && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == URUG && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == DAID && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == DAIF && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == EUOR && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) ) || ( ( var1 == K25 && var2 == E1 && var3 == MF && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == FRAN && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == DOTO && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == ALLE && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == AUTR && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == BELG && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == DANE && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == ESPA && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == GRBR && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == GREC && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == HOLL && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == IRLA && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == ISLA && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == ITAL && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == PORT && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == SUIS && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == YOUG && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == CHIL && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == AFSU && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == ISRA && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == HONG && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == TCHE && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == POLO && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == SLVQ && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == JAPO && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == TAIW && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == AUST && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == URUG && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == DAID && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == DAIF && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == EUOR && var7 == TEMP && var40 == EMBPIL && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == FRAN && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == FRAN && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == DOTO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == DOTO && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == ALLE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == ALLE && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == AUTR && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == AUTR && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == BELG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == BELG && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == DANE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == DANE && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == ESPA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == ESPA && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == GRBR && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == GRBR && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == GREC && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == GREC && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == HOLL && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == HOLL && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == IRLA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == IRLA && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == ISLA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == ISLA && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == ITAL && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == ITAL && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == PORT && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == PORT && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == SUIS && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == SUIS && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == YOUG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == YOUG && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == CHIL && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == CHIL && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == AFSU && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == AFSU && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == ISRA && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == ISRA && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == HONG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == HONG && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == TCHE && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == TCHE && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == POLO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == POLO && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == SLVQ && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == SLVQ && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == JAPO && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == JAPO && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == TAIW && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == TAIW && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == AUST && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == AUST && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == URUG && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == URUG && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == DAID && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == DAID && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == DAIF && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == DAIF && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == EUOR && var7 == TEMP && var40 == SEMBRY && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == EUOR && var7 == TEMP && var40 == EMBPIL && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == FRAN && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == DOTO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == ALLE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == AUTR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == BELG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == DANE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == ESPA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == GRBR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == GREC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == HOLL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == IRLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == ISLA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == ITAL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == PORT && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == SUIS && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == YOUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == MAGH && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == TURQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == ARGE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == BRES && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == CHIL && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == COLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == AFSU && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == MARO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == ISRA && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == HONG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == TCHE && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == POLO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == SLVQ && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == JAPO && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == TAIW && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == AUST && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == URUG && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == DAIB && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == DAIC && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == DAID && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == DAIF && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == EUOR && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == CETI && var7 == TEMP && var40 == EMBNOR && var94 == EU96 && var98 == Autre913 ) ) ); ( ( ( var40 == SEMBRY && var81 == BVA4 ) || ( var40 == EMBPIL && var81 == BVM5 ) || ( var40 == EMBNOR && var81 == BVM5 ) ) ); ( ( ( var80 == SRADIO && var85 == SCDCOF ) || ( var80 == CRIT2X5KS && var85 == SCDCOF ) || ( var80 == CRIT2X8KI && var85 == SCDCOF ) || ( var80 == CRIT4X15KI && var85 == SCDCOF ) || ( var80 == CRIT4X15KI && var85 == CDCOF ) || ( var80 == CRIT4X15CI && var85 == SCDCOF ) || ( var80 == CRIT4X25KI && var85 == SCDCOF ) || ( var80 == CRIT4X25KI && var85 == CDCOF ) || ( var80 == ANSRAD && var85 == SCDCOF ) || ( var80 == ANSRAD && var85 == CDCOF ) ) ); ( ( ( var29 == DRA && var55 == SRHENF ) || ( var29 == DRA && var55 == RHENF ) || ( var29 == DRA && var55 == CRIT2RHENF ) || ( var29 == CUI && var55 == SRHENF ) ) ); ( ( ( var8 == CPLG && var81 == BVM5 ) || ( var8 == CPLN && var81 == BVM5 ) || ( var8 == CPLN && var81 == BVA4 ) ) ); ( ( ( var15 == PBNCH && var16 == VC ) || ( var15 == PBNCH && var16 == VT ) || ( var15 == PBCH && var16 == VT ) ) ); ( ( ( var30 == SSATAR && var55 == SRHENF ) || ( var30 == ATARPH && var55 == SRHENF ) || ( var30 == ATARPH && var55 == RHENF ) || ( var30 == ATARPH && var55 == CRIT2RHENF ) || ( var30 == CRIT3ATRPH && var55 == SRHENF ) || ( var30 == CRIT3ATRPH && var55 == RHENF ) || ( var30 == CRIT3ATRPH && var55 == CRIT2RHENF ) ) ); ( ( ( var19 == CPE && var20 == SSTIR ) || ( var19 == CPE && var20 == TIR ) || ( var19 == SSCPE && var20 == SSTIR ) ) ); ( ( ( var40 == SEMBRY && var45 == SUSREN ) || ( var40 == SEMBRY && var45 == SUSNOR ) || ( var40 == EMBPIL && var45 == SUSNOR ) || ( var40 == EMBNOR && var45 == SUSREN ) || ( var40 == EMBNOR && var45 == SUSNOR ) ) ); ( ( ( var66 == JANTOL && var88 == Autre497 ) || ( var66 == JANALU && var88 == JANDIF ) || ( var66 == JANALU && var88 == Autre497 ) ) ); ( ( ( var66 == JANTOL && var87 == MONORM ) || ( var66 == JANTOL && var87 == SURMO1 ) || ( var66 == JANALU && var87 == MONORM ) ) ); ( ( ( var54 == SNAVIG && var76 == Autre408 ) || ( var54 == NAVIG && var76 == NINAV1 ) || ( var54 == NAVIG && var76 == Autre408 ) ) ); ( ( ( var77 == SSABPA && var79 == SSABCO ) || ( var77 == SSABPA && var79 == ABCO01 ) || ( var77 == ABPA01 && var79 == ABCO01 ) ) ); ( ( ( var1 == S64 && var2 == E1 && var23 == SPROJA && var60 == SLAVPH ) || ( var1 == S64 && var2 == E1 && var23 == SPROJA && var60 == LAVPH ) || ( var1 == S64 && var2 == E1 && var23 == PROJAB && var60 == SLAVPH ) || ( var1 == S64 && var2 == E1 && var23 == PROJAB && var60 == LAVPH ) || ( var1 == S64 && var2 == E2 && var23 == SPROJA && var60 == SLAVPH ) || ( var1 == S64 && var2 == E2 && var23 == SPROJA && var60 == LAVPH ) || ( var1 == S64 && var2 == E2 && var23 == PROJAB && var60 == SLAVPH ) || ( var1 == S64 && var2 == E2 && var23 == PROJAB && var60 == LAVPH ) || ( var1 == L64 && var2 == E1 && var23 == SPROJA && var60 == SLAVPH ) || ( var1 == L64 && var2 == E1 && var23 == SPROJA && var60 == LAVPH ) || ( var1 == L64 && var2 == E1 && var23 == PROJAB && var60 == SLAVPH ) || ( var1 == L64 && var2 == E1 && var23 == PROJAB && var60 == LAVPH ) || ( var1 == L64 && var2 == E2 && var23 == SPROJA && var60 == SLAVPH ) || ( var1 == L64 && var2 == E2 && var23 == SPROJA && var60 == LAVPH ) || ( var1 == L64 && var2 == E2 && var23 == PROJAB && var60 == SLAVPH ) || ( var1 == L64 && var2 == E2 && var23 == PROJAB && var60 == LAVPH ) || ( var1 == L64 && var2 == E3 && var23 == SPROJA && var60 == SLAVPH ) || ( var1 == L64 && var2 == E3 && var23 == SPROJA && var60 == LAVPH ) || ( var1 == L64 && var2 == E3 && var23 == PROJAB && var60 == SLAVPH ) || ( var1 == L64 && var2 == E3 && var23 == PROJAB && var60 == LAVPH ) || ( var1 == E64 && var2 == E0 && var23 == SPROJA && var60 == SLAVPH ) || ( var1 == E64 && var2 == E0 && var23 == SPROJA && var60 == LAVPH ) || ( var1 == E64 && var2 == E0 && var23 == PROJAB && var60 == SLAVPH ) || ( var1 == E64 && var2 == E0 && var23 == PROJAB && var60 == LAVPH ) || ( var1 == E64 && var2 == E1 && var23 == SPROJA && var60 == SLAVPH ) || ( var1 == E64 && var2 == E1 && var23 == SPROJA && var60 == LAVPH ) || ( var1 == E64 && var2 == E1 && var23 == PROJAB && var60 == SLAVPH ) || ( var1 == E64 && var2 == E1 && var23 == PROJAB && var60 == LAVPH ) || ( var1 == E64 && var2 == E2 && var23 == SPROJA && var60 == SLAVPH ) || ( var1 == E64 && var2 == E2 && var23 == SPROJA && var60 == LAVPH ) || ( var1 == E64 && var2 == E2 && var23 == PROJAB && var60 == SLAVPH ) || ( var1 == E64 && var2 == E2 && var23 == PROJAB && var60 == LAVPH ) || ( var1 == E64 && var2 == E3 && var23 == SPROJA && var60 == SLAVPH ) || ( var1 == E64 && var2 == E3 && var23 == SPROJA && var60 == LAVPH ) || ( var1 == E64 && var2 == E3 && var23 == PROJAB && var60 == SLAVPH ) || ( var1 == E64 && var2 == E3 && var23 == PROJAB && var60 == LAVPH ) || ( var1 == E64 && var2 == E5 && var23 == SPROJA && var60 == SLAVPH ) || ( var1 == E64 && var2 == E5 && var23 == SPROJA && var60 == LAVPH ) || ( var1 == E64 && var2 == E5 && var23 == PROJAB && var60 == SLAVPH ) || ( var1 == E64 && var2 == E5 && var23 == PROJAB && var60 == LAVPH ) || ( var1 == D64 && var2 == E2 && var23 == SPROJA && var60 == SLAVPH ) || ( var1 == D64 && var2 == E2 && var23 == PROJAB && var60 == SLAVPH ) || ( var1 == D64 && var2 == E2 && var23 == PROJAB && var60 == LAVPH ) || ( var1 == D64 && var2 == E3 && var23 == SPROJA && var60 == SLAVPH ) || ( var1 == D64 && var2 == E3 && var23 == SPROJA && var60 == LAVPH ) || ( var1 == D64 && var2 == E3 && var23 == PROJAB && var60 == SLAVPH ) || ( var1 == D64 && var2 == E3 && var23 == PROJAB && var60 == LAVPH ) || ( var1 == J64 && var2 == E2 && var23 == SPROJA && var60 == SLAVPH ) || ( var1 == J64 && var2 == E2 && var23 == SPROJA && var60 == LAVPH ) || ( var1 == J64 && var2 == E2 && var23 == PROJAB && var60 == SLAVPH ) || ( var1 == J64 && var2 == E2 && var23 == PROJAB && var60 == LAVPH ) || ( var1 == J64 && var2 == E3 && var23 == SPROJA && var60 == SLAVPH ) || ( var1 == J64 && var2 == E3 && var23 == SPROJA && var60 == LAVPH ) || ( var1 == J64 && var2 == E3 && var23 == PROJAB && var60 == SLAVPH ) || ( var1 == J64 && var2 == E3 && var23 == PROJAB && var60 == LAVPH ) || ( var1 == B64 && var2 == E1 && var23 == SPROJA && var60 == SLAVPH ) || ( var1 == B64 && var2 == E1 && var23 == SPROJA && var60 == LAVPH ) || ( var1 == B64 && var2 == E1 && var23 == PROJAB && var60 == SLAVPH ) || ( var1 == B64 && var2 == E1 && var23 == PROJAB && var60 == LAVPH ) || ( var1 == B64 && var2 == E2 && var23 == SPROJA && var60 == SLAVPH ) || ( var1 == B64 && var2 == E2 && var23 == SPROJA && var60 == LAVPH ) || ( var1 == B64 && var2 == E2 && var23 == PROJAB && var60 == SLAVPH ) || ( var1 == B64 && var2 == E2 && var23 == PROJAB && var60 == LAVPH ) || ( var1 == B64 && var2 == E3 && var23 == SPROJA && var60 == SLAVPH ) || ( var1 == B64 && var2 == E3 && var23 == SPROJA && var60 == LAVPH ) || ( var1 == B64 && var2 == E3 && var23 == PROJAB && var60 == SLAVPH ) || ( var1 == B64 && var2 == E3 && var23 == PROJAB && var60 == LAVPH ) || ( var1 == B64 && var2 == E5 && var23 == SPROJA && var60 == SLAVPH ) || ( var1 == B64 && var2 == E5 && var23 == SPROJA && var60 == LAVPH ) || ( var1 == B64 && var2 == E5 && var23 == PROJAB && var60 == SLAVPH ) || ( var1 == B64 && var2 == E5 && var23 == PROJAB && var60 == LAVPH ) || ( var1 == F64 && var2 == E2 && var23 == SPROJA && var60 == SLAVPH ) || ( var1 == F64 && var2 == E2 && var23 == SPROJA && var60 == LAVPH ) || ( var1 == F64 && var2 == E2 && var23 == PROJAB && var60 == SLAVPH ) || ( var1 == F64 && var2 == E2 && var23 == PROJAB && var60 == LAVPH ) || ( var1 == K25 && var2 == E0 && var23 == SPROJA && var60 == SLAVPH ) || ( var1 == K25 && var2 == E0 && var23 == SPROJA && var60 == LAVPH ) || ( var1 == K25 && var2 == E0 && var23 == PROJAB && var60 == SLAVPH ) || ( var1 == K25 && var2 == E0 && var23 == PROJAB && var60 == LAVPH ) || ( var1 == K25 && var2 == E1 && var23 == SPROJA && var60 == SLAVPH ) || ( var1 == K25 && var2 == E1 && var23 == SPROJA && var60 == LAVPH ) || ( var1 == K25 && var2 == E1 && var23 == PROJAB && var60 == SLAVPH ) || ( var1 == K25 && var2 == E1 && var23 == PROJAB && var60 == LAVPH ) || ( var1 == K25 && var2 == E3 && var23 == SPROJA && var60 == SLAVPH ) || ( var1 == K25 && var2 == E3 && var23 == SPROJA && var60 == LAVPH ) || ( var1 == K25 && var2 == E3 && var23 == PROJAB && var60 == SLAVPH ) || ( var1 == K25 && var2 == E3 && var23 == PROJAB && var60 == LAVPH ) || ( var1 == V25 && var2 == E2 && var23 == SPROJA && var60 == SLAVPH ) || ( var1 == V25 && var2 == E2 && var23 == SPROJA && var60 == LAVPH ) || ( var1 == V25 && var2 == E2 && var23 == PROJAB && var60 == SLAVPH ) || ( var1 == V25 && var2 == E2 && var23 == PROJAB && var60 == LAVPH ) ) ); ( ( ( var1 == S64 && var25 == SANCUS && var29 == DRA && var47 == LVAVMA ) || ( var1 == S64 && var25 == SANCUS && var29 == DRA && var47 == LVAVEL ) || ( var1 == S64 && var25 == SANCUS && var29 == CUI && var47 == LVAVMA ) || ( var1 == S64 && var25 == SANCUS && var29 == CUI && var47 == LVAVEL ) || ( var1 == L64 && var25 == SANCUS && var29 == DRA && var47 == LVAVMA ) || ( var1 == L64 && var25 == SANCUS && var29 == DRA && var47 == LVAVEL ) || ( var1 == L64 && var25 == SANCUS && var29 == CUI && var47 == LVAVMA ) || ( var1 == L64 && var25 == SANCUS && var29 == CUI && var47 == LVAVEL ) || ( var1 == E64 && var25 == SANCUS && var29 == DRA && var47 == LVAVMA ) || ( var1 == E64 && var25 == SANCUS && var29 == DRA && var47 == LVAVEL ) || ( var1 == E64 && var25 == SANCUS && var29 == CUI && var47 == LVAVMA ) || ( var1 == E64 && var25 == SANCUS && var29 == CUI && var47 == LVAVEL ) || ( var1 == E64 && var25 == CUSFIX && var29 == DRA && var47 == LVAVMA ) || ( var1 == E64 && var25 == CUSFIX && var29 == DRA && var47 == LVAVEL ) || ( var1 == E64 && var25 == CUSFIX && var29 == CUI && var47 == LVAVMA ) || ( var1 == E64 && var25 == CUSFIX && var29 == CUI && var47 == LVAVEL ) || ( var1 == E64 && var25 == CUSPIV && var29 == DRA && var47 == LVAVMA ) || ( var1 == E64 && var25 == CUSPIV && var29 == DRA && var47 == LVAVEL ) || ( var1 == E64 && var25 == CUSPIV && var29 == CUI && var47 == LVAVMA ) || ( var1 == E64 && var25 == CUSPIV && var29 == CUI && var47 == LVAVEL ) || ( var1 == D64 && var25 == SANCUS && var29 == DRA && var47 == LVAVMA ) || ( var1 == D64 && var25 == SANCUS && var29 == DRA && var47 == LVAVEL ) || ( var1 == D64 && var25 == CUSFIX && var29 == DRA && var47 == LVAVMA ) || ( var1 == D64 && var25 == CUSFIX && var29 == DRA && var47 == LVAVEL ) || ( var1 == D64 && var25 == CUSPIV && var29 == DRA && var47 == LVAVMA ) || ( var1 == D64 && var25 == CUSPIV && var29 == DRA && var47 == LVAVEL ) || ( var1 == D64 && var25 == CUSPIV && var29 == CUI && var47 == LVAVEL ) || ( var1 == J64 && var25 == CUSFIX && var29 == DRA && var47 == LVAVMA ) || ( var1 == J64 && var25 == CUSFIX && var29 == DRA && var47 == LVAVEL ) || ( var1 == J64 && var25 == CUSFIX && var29 == CUI && var47 == LVAVMA ) || ( var1 == J64 && var25 == CUSFIX && var29 == CUI && var47 == LVAVEL ) || ( var1 == B64 && var25 == SANCUS && var29 == DRA && var47 == LVAVMA ) || ( var1 == B64 && var25 == SANCUS && var29 == DRA && var47 == LVAVEL ) || ( var1 == B64 && var25 == SANCUS && var29 == CUI && var47 == LVAVMA ) || ( var1 == B64 && var25 == SANCUS && var29 == CUI && var47 == LVAVEL ) || ( var1 == F64 && var25 == CUSFIX && var29 == DRA && var47 == LVAVMA ) || ( var1 == F64 && var25 == CUSFIX && var29 == DRA && var47 == LVAVEL ) || ( var1 == F64 && var25 == CUSFIX && var29 == CUI && var47 == LVAVMA ) || ( var1 == F64 && var25 == CUSFIX && var29 == CUI && var47 == LVAVEL ) || ( var1 == K25 && var25 == CUSFIX && var29 == DRA && var47 == LVAVMA ) || ( var1 == K25 && var25 == CUSFIX && var29 == DRA && var47 == LVAVEL ) || ( var1 == V25 && var25 == CUSFIX && var29 == DRA && var47 == LVAVMA ) || ( var1 == V25 && var25 == CUSFIX && var29 == DRA && var47 == LVAVEL ) ) ); ( ( ( var1 == S64 && var2 == E1 && var60 == SLAVPH && var97 == BCNTC ) || ( var1 == S64 && var2 == E1 && var60 == SLAVPH && var97 == BCTC ) || ( var1 == S64 && var2 == E1 && var60 == LAVPH && var97 == BCNTC ) || ( var1 == S64 && var2 == E1 && var60 == LAVPH && var97 == BCTC ) || ( var1 == S64 && var2 == E2 && var60 == SLAVPH && var97 == BCNTC ) || ( var1 == S64 && var2 == E2 && var60 == SLAVPH && var97 == BCTC ) || ( var1 == S64 && var2 == E2 && var60 == LAVPH && var97 == BCNTC ) || ( var1 == S64 && var2 == E2 && var60 == LAVPH && var97 == BCTC ) || ( var1 == L64 && var2 == E1 && var60 == SLAVPH && var97 == BCNTC ) || ( var1 == L64 && var2 == E1 && var60 == SLAVPH && var97 == BCTC ) || ( var1 == L64 && var2 == E1 && var60 == LAVPH && var97 == BCNTC ) || ( var1 == L64 && var2 == E1 && var60 == LAVPH && var97 == BCTC ) || ( var1 == L64 && var2 == E2 && var60 == SLAVPH && var97 == BCNTC ) || ( var1 == L64 && var2 == E2 && var60 == SLAVPH && var97 == BCTC ) || ( var1 == L64 && var2 == E2 && var60 == LAVPH && var97 == BCNTC ) || ( var1 == L64 && var2 == E3 && var60 == SLAVPH && var97 == BCNTC ) || ( var1 == L64 && var2 == E3 && var60 == SLAVPH && var97 == BCTC ) || ( var1 == L64 && var2 == E3 && var60 == LAVPH && var97 == BCNTC ) || ( var1 == L64 && var2 == E3 && var60 == LAVPH && var97 == BCTC ) || ( var1 == E64 && var2 == E0 && var60 == SLAVPH && var97 == BCTC ) || ( var1 == E64 && var2 == E0 && var60 == LAVPH && var97 == BCTC ) || ( var1 == E64 && var2 == E1 && var60 == SLAVPH && var97 == BCTC ) || ( var1 == E64 && var2 == E1 && var60 == LAVPH && var97 == BCTC ) || ( var1 == E64 && var2 == E2 && var60 == SLAVPH && var97 == BCTC ) || ( var1 == E64 && var2 == E2 && var60 == LAVPH && var97 == BCTC ) || ( var1 == E64 && var2 == E3 && var60 == SLAVPH && var97 == BCTC ) || ( var1 == E64 && var2 == E3 && var60 == LAVPH && var97 == BCTC ) || ( var1 == E64 && var2 == E5 && var60 == SLAVPH && var97 == BCTC ) || ( var1 == E64 && var2 == E5 && var60 == LAVPH && var97 == BCTC ) || ( var1 == D64 && var2 == E2 && var60 == SLAVPH && var97 == BCTC ) || ( var1 == D64 && var2 == E2 && var60 == LAVPH && var97 == BCTC ) || ( var1 == D64 && var2 == E3 && var60 == SLAVPH && var97 == BCTC ) || ( var1 == D64 && var2 == E3 && var60 == LAVPH && var97 == BCTC ) || ( var1 == J64 && var2 == E2 && var60 == SLAVPH && var97 == BCNTC ) || ( var1 == J64 && var2 == E2 && var60 == LAVPH && var97 == BCNTC ) || ( var1 == J64 && var2 == E3 && var60 == SLAVPH && var97 == BCNTC ) || ( var1 == J64 && var2 == E3 && var60 == LAVPH && var97 == BCNTC ) || ( var1 == B64 && var2 == E1 && var60 == SLAVPH && var97 == BCNTC ) || ( var1 == B64 && var2 == E1 && var60 == SLAVPH && var97 == BCTC ) || ( var1 == B64 && var2 == E1 && var60 == LAVPH && var97 == BCNTC ) || ( var1 == B64 && var2 == E1 && var60 == LAVPH && var97 == BCTC ) || ( var1 == B64 && var2 == E2 && var60 == SLAVPH && var97 == BCNTC ) || ( var1 == B64 && var2 == E2 && var60 == SLAVPH && var97 == BCTC ) || ( var1 == B64 && var2 == E2 && var60 == LAVPH && var97 == BCNTC ) || ( var1 == B64 && var2 == E3 && var60 == SLAVPH && var97 == BCNTC ) || ( var1 == B64 && var2 == E3 && var60 == SLAVPH && var97 == BCTC ) || ( var1 == B64 && var2 == E3 && var60 == LAVPH && var97 == BCNTC ) || ( var1 == B64 && var2 == E3 && var60 == LAVPH && var97 == BCTC ) || ( var1 == B64 && var2 == E5 && var60 == SLAVPH && var97 == BCNTC ) || ( var1 == B64 && var2 == E5 && var60 == SLAVPH && var97 == BCTC ) || ( var1 == B64 && var2 == E5 && var60 == LAVPH && var97 == BCNTC ) || ( var1 == B64 && var2 == E5 && var60 == LAVPH && var97 == BCTC ) || ( var1 == F64 && var2 == E2 && var60 == SLAVPH && var97 == BCNTC ) || ( var1 == F64 && var2 == E2 && var60 == LAVPH && var97 == BCNTC ) || ( var1 == K25 && var2 == E0 && var60 == SLAVPH && var97 == BCNTC ) || ( var1 == K25 && var2 == E0 && var60 == SLAVPH && var97 == BCTC ) || ( var1 == K25 && var2 == E0 && var60 == LAVPH && var97 == BCNTC ) || ( var1 == K25 && var2 == E0 && var60 == LAVPH && var97 == BCTC ) || ( var1 == K25 && var2 == E1 && var60 == SLAVPH && var97 == BCNTC ) || ( var1 == K25 && var2 == E1 && var60 == SLAVPH && var97 == BCTC ) || ( var1 == K25 && var2 == E1 && var60 == LAVPH && var97 == BCNTC ) || ( var1 == K25 && var2 == E1 && var60 == LAVPH && var97 == BCTC ) || ( var1 == K25 && var2 == E3 && var60 == SLAVPH && var97 == BCNTC ) || ( var1 == K25 && var2 == E3 && var60 == SLAVPH && var97 == BCTC ) || ( var1 == K25 && var2 == E3 && var60 == LAVPH && var97 == BCNTC ) || ( var1 == K25 && var2 == E3 && var60 == LAVPH && var97 == BCTC ) || ( var1 == V25 && var2 == E2 && var60 == SLAVPH && var97 == BCNTC ) || ( var1 == V25 && var2 == E2 && var60 == SLAVPH && var97 == BCTC ) || ( var1 == V25 && var2 == E2 && var60 == LAVPH && var97 == BCNTC ) || ( var1 == V25 && var2 == E2 && var60 == LAVPH && var97 == BCTC ) ) ); ( ( ( var3 == M5 && var45 == SUSREN && var81 == BVM5 ) || ( var3 == M5 && var45 == SUSREN && var81 == BVA4 ) || ( var3 == M5 && var45 == SUSNOR && var81 == BVM5 ) || ( var3 == M5 && var45 == SUSNOR && var81 == BVA4 ) || ( var3 == M6 && var45 == SUSREN && var81 == BVM5 ) || ( var3 == M6 && var45 == SUSREN && var81 == BVA4 ) || ( var3 == M6 && var45 == SUSNOR && var81 == BVM5 ) || ( var3 == M6 && var45 == SUSNOR && var81 == BVA4 ) || ( var3 == M7 && var45 == SUSREN && var81 == BVM5 ) || ( var3 == M7 && var45 == SUSREN && var81 == BVA4 ) || ( var3 == M7 && var45 == SUSNOR && var81 == BVM5 ) || ( var3 == M7 && var45 == SUSNOR && var81 == BVA4 ) || ( var3 == M8 && var45 == SUSREN && var81 == BVM5 ) || ( var3 == M8 && var45 == SUSREN && var81 == BVA4 ) || ( var3 == M8 && var45 == SUSNOR && var81 == BVM5 ) || ( var3 == M8 && var45 == SUSNOR && var81 == BVA4 ) || ( var3 == M9 && var45 == SUSREN && var81 == BVM5 ) || ( var3 == M9 && var45 == SUSREN && var81 == BVA4 ) || ( var3 == M9 && var45 == SUSNOR && var81 == BVM5 ) || ( var3 == M9 && var45 == SUSNOR && var81 == BVA4 ) || ( var3 == MA && var45 == SUSREN && var81 == BVM5 ) || ( var3 == MA && var45 == SUSREN && var81 == BVA4 ) || ( var3 == MA && var45 == SUSNOR && var81 == BVM5 ) || ( var3 == MA && var45 == SUSNOR && var81 == BVA4 ) || ( var3 == MB && var45 == SUSREN && var81 == BVM5 ) || ( var3 == MB && var45 == SUSREN && var81 == BVA4 ) || ( var3 == MB && var45 == SUSNOR && var81 == BVM5 ) || ( var3 == MB && var45 == SUSNOR && var81 == BVA4 ) || ( var3 == MC && var45 == SUSREN && var81 == BVM5 ) || ( var3 == MC && var45 == SUSREN && var81 == BVA4 ) || ( var3 == MC && var45 == SUSNOR && var81 == BVM5 ) || ( var3 == MC && var45 == SUSNOR && var81 == BVA4 ) || ( var3 == MD && var45 == SUSREN && var81 == BVM5 ) || ( var3 == MD && var45 == SUSREN && var81 == BVA4 ) || ( var3 == MD && var45 == SUSNOR && var81 == BVM5 ) || ( var3 == MD && var45 == SUSNOR && var81 == BVA4 ) || ( var3 == ME && var45 == SUSREN && var81 == BVM5 ) || ( var3 == ME && var45 == SUSREN && var81 == BVA4 ) || ( var3 == ME && var45 == SUSNOR && var81 == BVM5 ) || ( var3 == ME && var45 == SUSNOR && var81 == BVA4 ) || ( var3 == MF && var45 == SUSREN && var81 == BVM5 ) || ( var3 == MF && var45 == SUSNOR && var81 == BVM5 ) || ( var3 == MF && var45 == SUSNOR && var81 == BVA4 ) || ( var3 == MJ && var45 == SUSREN && var81 == BVM5 ) || ( var3 == MJ && var45 == SUSREN && var81 == BVA4 ) || ( var3 == MJ && var45 == SUSNOR && var81 == BVM5 ) || ( var3 == MJ && var45 == SUSNOR && var81 == BVA4 ) || ( var3 == MK && var45 == SUSREN && var81 == BVM5 ) || ( var3 == MK && var45 == SUSREN && var81 == BVA4 ) || ( var3 == MK && var45 == SUSNOR && var81 == BVM5 ) || ( var3 == MK && var45 == SUSNOR && var81 == BVA4 ) || ( var3 == ML && var45 == SUSREN && var81 == BVM5 ) || ( var3 == ML && var45 == SUSREN && var81 == BVA4 ) || ( var3 == ML && var45 == SUSNOR && var81 == BVM5 ) || ( var3 == ML && var45 == SUSNOR && var81 == BVA4 ) || ( var3 == MM && var45 == SUSREN && var81 == BVM5 ) || ( var3 == MM && var45 == SUSREN && var81 == BVA4 ) || ( var3 == MM && var45 == SUSNOR && var81 == BVM5 ) || ( var3 == MM && var45 == SUSNOR && var81 == BVA4 ) || ( var3 == MS && var45 == SUSREN && var81 == BVM5 ) || ( var3 == MS && var45 == SUSREN && var81 == BVA4 ) || ( var3 == MS && var45 == SUSNOR && var81 == BVM5 ) || ( var3 == MS && var45 == SUSNOR && var81 == BVA4 ) || ( var3 == MT && var45 == SUSREN && var81 == BVM5 ) || ( var3 == MT && var45 == SUSREN && var81 == BVA4 ) || ( var3 == MT && var45 == SUSNOR && var81 == BVM5 ) || ( var3 == MT && var45 == SUSNOR && var81 == BVA4 ) || ( var3 == MU && var45 == SUSREN && var81 == BVM5 ) || ( var3 == MU && var45 == SUSREN && var81 == BVA4 ) || ( var3 == MU && var45 == SUSNOR && var81 == BVM5 ) || ( var3 == MU && var45 == SUSNOR && var81 == BVA4 ) || ( var3 == MN && var45 == SUSREN && var81 == BVM5 ) || ( var3 == MN && var45 == SUSREN && var81 == BVA4 ) || ( var3 == MN && var45 == SUSNOR && var81 == BVM5 ) || ( var3 == MN && var45 == SUSNOR && var81 == BVA4 ) || ( var3 == MH && var45 == SUSREN && var81 == BVM5 ) || ( var3 == MH && var45 == SUSREN && var81 == BVA4 ) || ( var3 == MH && var45 == SUSNOR && var81 == BVM5 ) || ( var3 == MH && var45 == SUSNOR && var81 == BVA4 ) || ( var3 == MG && var45 == SUSREN && var81 == BVM5 ) || ( var3 == MG && var45 == SUSREN && var81 == BVA4 ) || ( var3 == MG && var45 == SUSNOR && var81 == BVM5 ) || ( var3 == MG && var45 == SUSNOR && var81 == BVA4 ) || ( var3 == MY && var45 == SUSREN && var81 == BVM5 ) || ( var3 == MY && var45 == SUSREN && var81 == BVA4 ) || ( var3 == MY && var45 == SUSNOR && var81 == BVM5 ) || ( var3 == MY && var45 == SUSNOR && var81 == BVA4 ) || ( var3 == NM2K && var45 == SUSREN && var81 == BVM5 ) || ( var3 == NM2K && var45 == SUSREN && var81 == BVA4 ) || ( var3 == NM2K && var45 == SUSNOR && var81 == BVM5 ) || ( var3 == NM2K && var45 == SUSNOR && var81 == BVA4 ) || ( var3 == NM0C && var45 == SUSREN && var81 == BVM5 ) || ( var3 == NM0C && var45 == SUSREN && var81 == BVA4 ) || ( var3 == NM0C && var45 == SUSNOR && var81 == BVM5 ) || ( var3 == NM0C && var45 == SUSNOR && var81 == BVA4 ) || ( var3 == ND1G && var45 == SUSREN && var81 == BVM5 ) || ( var3 == ND1G && var45 == SUSREN && var81 == BVA4 ) || ( var3 == ND1G && var45 == SUSNOR && var81 == BVM5 ) || ( var3 == ND1G && var45 == SUSNOR && var81 == BVA4 ) ) ); ( ( ( var1 == S64 && var31 == SBANAR && var55 == SRHENF ) || ( var1 == S64 && var31 == SBANAR && var55 == RHENF ) || ( var1 == S64 && var31 == BANAR && var55 == SRHENF ) || ( var1 == S64 && var31 == BANAR && var55 == RHENF ) || ( var1 == S64 && var31 == FBANAR && var55 == SRHENF ) || ( var1 == S64 && var31 == FBANAR && var55 == RHENF ) || ( var1 == S64 && var31 == FBARAC && var55 == SRHENF ) || ( var1 == S64 && var31 == FBARAC && var55 == RHENF ) || ( var1 == L64 && var31 == SBANAR && var55 == SRHENF ) || ( var1 == L64 && var31 == BANAR && var55 == SRHENF ) || ( var1 == L64 && var31 == FBANAR && var55 == SRHENF ) || ( var1 == L64 && var31 == FBANAR && var55 == RHENF ) || ( var1 == L64 && var31 == FBARAC && var55 == SRHENF ) || ( var1 == L64 && var31 == FBARAC && var55 == RHENF ) || ( var1 == E64 && var31 == BANAR && var55 == SRHENF ) || ( var1 == E64 && var31 == FBANAR && var55 == SRHENF ) || ( var1 == D64 && var31 == BANAR && var55 == SRHENF ) || ( var1 == D64 && var31 == FBANAR && var55 == SRHENF ) || ( var1 == J64 && var31 == SBANAR && var55 == SRHENF ) || ( var1 == J64 && var31 == SBANAR && var55 == RHENF ) || ( var1 == J64 && var31 == CRIT3SJAR && var55 == SRHENF ) || ( var1 == J64 && var31 == CRIT3SJAR && var55 == RHENF ) || ( var1 == J64 && var31 == CRIT3SJARI && var55 == SRHENF ) || ( var1 == J64 && var31 == CRIT3SJARI && var55 == RHENF ) || ( var1 == B64 && var31 == SBANAR && var55 == SRHENF ) || ( var1 == B64 && var31 == BANAR && var55 == SRHENF ) || ( var1 == B64 && var31 == FBANAR && var55 == SRHENF ) || ( var1 == B64 && var31 == FBANAR && var55 == RHENF ) || ( var1 == B64 && var31 == FBARAC && var55 == SRHENF ) || ( var1 == B64 && var31 == FBARAC && var55 == RHENF ) || ( var1 == F64 && var31 == SBANAR && var55 == SRHENF ) || ( var1 == F64 && var31 == SBANAR && var55 == RHENF ) || ( var1 == F64 && var31 == CRIT3SJAR && var55 == SRHENF ) || ( var1 == F64 && var31 == CRIT3SJAR && var55 == RHENF ) || ( var1 == F64 && var31 == CRIT3SJARI && var55 == SRHENF ) || ( var1 == F64 && var31 == CRIT3SJARI && var55 == RHENF ) || ( var1 == K25 && var31 == SBANAR && var55 == SRHENF ) || ( var1 == K25 && var31 == BANAR && var55 == SRHENF ) || ( var1 == K25 && var31 == FBANAR && var55 == SRHENF ) || ( var1 == V25 && var31 == SBANAR && var55 == SRHENF ) || ( var1 == V25 && var31 == BANAR && var55 == SRHENF ) || ( var1 == V25 && var31 == FBANAR && var55 == SRHENF ) ) ); ( ( ( var1 == S64 && var3 == M9 && var66 == JANTOL && var81 == BVM5 ) || ( var1 == S64 && var3 == M9 && var66 == JANALU && var81 == BVM5 ) || ( var1 == S64 && var3 == MA && var66 == JANTOL && var81 == BVM5 ) || ( var1 == S64 && var3 == MA && var66 == JANALU && var81 == BVM5 ) || ( var1 == S64 && var3 == MJ && var66 == JANTOL && var81 == BVM5 ) || ( var1 == S64 && var3 == MJ && var66 == JANALU && var81 == BVM5 ) || ( var1 == S64 && var3 == MK && var66 == JANTOL && var81 == BVM5 ) || ( var1 == S64 && var3 == MK && var66 == JANALU && var81 == BVM5 ) || ( var1 == S64 && var3 == MU && var66 == JANTOL && var81 == BVM5 ) || ( var1 == S64 && var3 == MU && var66 == JANALU && var81 == BVM5 ) || ( var1 == S64 && var3 == MY && var66 == JANTOL && var81 == BVM5 ) || ( var1 == S64 && var3 == MY && var66 == JANALU && var81 == BVM5 ) || ( var1 == L64 && var3 == M5 && var66 == JANTOL && var81 == BVM5 ) || ( var1 == L64 && var3 == M5 && var66 == JANTOL && var81 == BVA4 ) || ( var1 == L64 && var3 == M5 && var66 == JANALU && var81 == BVM5 ) || ( var1 == L64 && var3 == M5 && var66 == JANALU && var81 == BVA4 ) || ( var1 == L64 && var3 == M6 && var66 == JANTOL && var81 == BVM5 ) || ( var1 == L64 && var3 == M6 && var66 == JANTOL && var81 == BVA4 ) || ( var1 == L64 && var3 == M6 && var66 == JANALU && var81 == BVM5 ) || ( var1 == L64 && var3 == M6 && var66 == JANALU && var81 == BVA4 ) || ( var1 == L64 && var3 == M7 && var66 == JANTOL && var81 == BVM5 ) || ( var1 == L64 && var3 == M7 && var66 == JANTOL && var81 == BVA4 ) || ( var1 == L64 && var3 == M7 && var66 == JANALU && var81 == BVM5 ) || ( var1 == L64 && var3 == M7 && var66 == JANALU && var81 == BVA4 ) || ( var1 == L64 && var3 == M8 && var66 == JANTOL && var81 == BVM5 ) || ( var1 == L64 && var3 == M8 && var66 == JANTOL && var81 == BVA4 ) || ( var1 == L64 && var3 == M8 && var66 == JANALU && var81 == BVM5 ) || ( var1 == L64 && var3 == M8 && var66 == JANALU && var81 == BVA4 ) || ( var1 == L64 && var3 == M9 && var66 == JANTOL && var81 == BVM5 ) || ( var1 == L64 && var3 == M9 && var66 == JANTOL && var81 == BVA4 ) || ( var1 == L64 && var3 == M9 && var66 == JANALU && var81 == BVM5 ) || ( var1 == L64 && var3 == M9 && var66 == JANALU && var81 == BVA4 ) || ( var1 == L64 && var3 == MA && var66 == JANTOL && var81 == BVM5 ) || ( var1 == L64 && var3 == MA && var66 == JANTOL && var81 == BVA4 ) || ( var1 == L64 && var3 == MA && var66 == JANALU && var81 == BVM5 ) || ( var1 == L64 && var3 == MA && var66 == JANALU && var81 == BVA4 ) || ( var1 == L64 && var3 == MB && var66 == JANTOL && var81 == BVM5 ) || ( var1 == L64 && var3 == MB && var66 == JANTOL && var81 == BVA4 ) || ( var1 == L64 && var3 == MB && var66 == JANALU && var81 == BVM5 ) || ( var1 == L64 && var3 == MB && var66 == JANALU && var81 == BVA4 ) || ( var1 == L64 && var3 == MC && var66 == JANTOL && var81 == BVM5 ) || ( var1 == L64 && var3 == MC && var66 == JANTOL && var81 == BVA4 ) || ( var1 == L64 && var3 == MC && var66 == JANALU && var81 == BVM5 ) || ( var1 == L64 && var3 == MC && var66 == JANALU && var81 == BVA4 ) || ( var1 == L64 && var3 == MD && var66 == JANTOL && var81 == BVM5 ) || ( var1 == L64 && var3 == MD && var66 == JANTOL && var81 == BVA4 ) || ( var1 == L64 && var3 == MD && var66 == JANALU && var81 == BVM5 ) || ( var1 == L64 && var3 == MD && var66 == JANALU && var81 == BVA4 ) || ( var1 == L64 && var3 == ME && var66 == JANTOL && var81 == BVM5 ) || ( var1 == L64 && var3 == ME && var66 == JANTOL && var81 == BVA4 ) || ( var1 == L64 && var3 == ME && var66 == JANALU && var81 == BVM5 ) || ( var1 == L64 && var3 == ME && var66 == JANALU && var81 == BVA4 ) || ( var1 == L64 && var3 == MF && var66 == JANTOL && var81 == BVM5 ) || ( var1 == L64 && var3 == MF && var66 == JANTOL && var81 == BVA4 ) || ( var1 == L64 && var3 == MF && var66 == JANALU && var81 == BVM5 ) || ( var1 == L64 && var3 == MF && var66 == JANALU && var81 == BVA4 ) || ( var1 == L64 && var3 == MJ && var66 == JANTOL && var81 == BVM5 ) || ( var1 == L64 && var3 == MJ && var66 == JANTOL && var81 == BVA4 ) || ( var1 == L64 && var3 == MJ && var66 == JANALU && var81 == BVM5 ) || ( var1 == L64 && var3 == MJ && var66 == JANALU && var81 == BVA4 ) || ( var1 == L64 && var3 == MK && var66 == JANTOL && var81 == BVM5 ) || ( var1 == L64 && var3 == MK && var66 == JANTOL && var81 == BVA4 ) || ( var1 == L64 && var3 == MK && var66 == JANALU && var81 == BVM5 ) || ( var1 == L64 && var3 == MK && var66 == JANALU && var81 == BVA4 ) || ( var1 == L64 && var3 == ML && var66 == JANTOL && var81 == BVM5 ) || ( var1 == L64 && var3 == ML && var66 == JANTOL && var81 == BVA4 ) || ( var1 == L64 && var3 == ML && var66 == JANALU && var81 == BVM5 ) || ( var1 == L64 && var3 == ML && var66 == JANALU && var81 == BVA4 ) || ( var1 == L64 && var3 == MM && var66 == JANTOL && var81 == BVM5 ) || ( var1 == L64 && var3 == MM && var66 == JANTOL && var81 == BVA4 ) || ( var1 == L64 && var3 == MM && var66 == JANALU && var81 == BVM5 ) || ( var1 == L64 && var3 == MM && var66 == JANALU && var81 == BVA4 ) || ( var1 == L64 && var3 == MS && var66 == JANTOL && var81 == BVM5 ) || ( var1 == L64 && var3 == MS && var66 == JANTOL && var81 == BVA4 ) || ( var1 == L64 && var3 == MS && var66 == JANALU && var81 == BVM5 ) || ( var1 == L64 && var3 == MS && var66 == JANALU && var81 == BVA4 ) || ( var1 == L64 && var3 == MT && var66 == JANTOL && var81 == BVM5 ) || ( var1 == L64 && var3 == MT && var66 == JANTOL && var81 == BVA4 ) || ( var1 == L64 && var3 == MT && var66 == JANALU && var81 == BVM5 ) || ( var1 == L64 && var3 == MT && var66 == JANALU && var81 == BVA4 ) || ( var1 == L64 && var3 == MU && var66 == JANTOL && var81 == BVM5 ) || ( var1 == L64 && var3 == MU && var66 == JANTOL && var81 == BVA4 ) || ( var1 == L64 && var3 == MU && var66 == JANALU && var81 == BVM5 ) || ( var1 == L64 && var3 == MU && var66 == JANALU && var81 == BVA4 ) || ( var1 == L64 && var3 == MN && var66 == JANTOL && var81 == BVM5 ) || ( var1 == L64 && var3 == MN && var66 == JANTOL && var81 == BVA4 ) || ( var1 == L64 && var3 == MN && var66 == JANALU && var81 == BVM5 ) || ( var1 == L64 && var3 == MN && var66 == JANALU && var81 == BVA4 ) || ( var1 == L64 && var3 == MH && var66 == JANTOL && var81 == BVM5 ) || ( var1 == L64 && var3 == MH && var66 == JANTOL && var81 == BVA4 ) || ( var1 == L64 && var3 == MH && var66 == JANALU && var81 == BVM5 ) || ( var1 == L64 && var3 == MH && var66 == JANALU && var81 == BVA4 ) || ( var1 == L64 && var3 == MG && var66 == JANTOL && var81 == BVM5 ) || ( var1 == L64 && var3 == MG && var66 == JANTOL && var81 == BVA4 ) || ( var1 == L64 && var3 == MG && var66 == JANALU && var81 == BVM5 ) || ( var1 == L64 && var3 == MG && var66 == JANALU && var81 == BVA4 ) || ( var1 == L64 && var3 == MY && var66 == JANTOL && var81 == BVM5 ) || ( var1 == L64 && var3 == MY && var66 == JANTOL && var81 == BVA4 ) || ( var1 == L64 && var3 == MY && var66 == JANALU && var81 == BVM5 ) || ( var1 == L64 && var3 == MY && var66 == JANALU && var81 == BVA4 ) || ( var1 == L64 && var3 == NM2K && var66 == JANTOL && var81 == BVM5 ) || ( var1 == L64 && var3 == NM2K && var66 == JANTOL && var81 == BVA4 ) || ( var1 == L64 && var3 == NM2K && var66 == JANALU && var81 == BVM5 ) || ( var1 == L64 && var3 == NM2K && var66 == JANALU && var81 == BVA4 ) || ( var1 == L64 && var3 == NM0C && var66 == JANTOL && var81 == BVM5 ) || ( var1 == L64 && var3 == NM0C && var66 == JANTOL && var81 == BVA4 ) || ( var1 == L64 && var3 == NM0C && var66 == JANALU && var81 == BVM5 ) || ( var1 == L64 && var3 == NM0C && var66 == JANALU && var81 == BVA4 ) || ( var1 == L64 && var3 == ND1G && var66 == JANTOL && var81 == BVM5 ) || ( var1 == L64 && var3 == ND1G && var66 == JANTOL && var81 == BVA4 ) || ( var1 == L64 && var3 == ND1G && var66 == JANALU && var81 == BVM5 ) || ( var1 == L64 && var3 == ND1G && var66 == JANALU && var81 == BVA4 ) || ( var1 == E64 && var3 == MB && var66 == JANTOL && var81 == BVM5 ) || ( var1 == E64 && var3 == MB && var66 == JANTOL && var81 == BVA4 ) || ( var1 == E64 && var3 == MB && var66 == JANALU && var81 == BVM5 ) || ( var1 == E64 && var3 == MB && var66 == JANALU && var81 == BVA4 ) || ( var1 == E64 && var3 == MC && var66 == JANTOL && var81 == BVM5 ) || ( var1 == E64 && var3 == MC && var66 == JANTOL && var81 == BVA4 ) || ( var1 == E64 && var3 == MC && var66 == JANALU && var81 == BVM5 ) || ( var1 == E64 && var3 == MC && var66 == JANALU && var81 == BVA4 ) || ( var1 == E64 && var3 == MF && var66 == JANTOL && var81 == BVM5 ) || ( var1 == E64 && var3 == MF && var66 == JANTOL && var81 == BVA4 ) || ( var1 == E64 && var3 == MF && var66 == JANALU && var81 == BVM5 ) || ( var1 == E64 && var3 == MF && var66 == JANALU && var81 == BVA4 ) || ( var1 == E64 && var3 == MH && var66 == JANTOL && var81 == BVM5 ) || ( var1 == E64 && var3 == MH && var66 == JANTOL && var81 == BVA4 ) || ( var1 == E64 && var3 == MH && var66 == JANALU && var81 == BVM5 ) || ( var1 == E64 && var3 == MH && var66 == JANALU && var81 == BVA4 ) || ( var1 == E64 && var3 == MG && var66 == JANTOL && var81 == BVM5 ) || ( var1 == E64 && var3 == MG && var66 == JANTOL && var81 == BVA4 ) || ( var1 == E64 && var3 == MG && var66 == JANALU && var81 == BVM5 ) || ( var1 == E64 && var3 == NM2K && var66 == JANTOL && var81 == BVM5 ) || ( var1 == E64 && var3 == NM2K && var66 == JANTOL && var81 == BVA4 ) || ( var1 == E64 && var3 == NM2K && var66 == JANALU && var81 == BVM5 ) || ( var1 == E64 && var3 == NM2K && var66 == JANALU && var81 == BVA4 ) || ( var1 == D64 && var3 == M5 && var66 == JANTOL && var81 == BVM5 ) || ( var1 == D64 && var3 == M5 && var66 == JANTOL && var81 == BVA4 ) || ( var1 == D64 && var3 == M5 && var66 == JANALU && var81 == BVM5 ) || ( var1 == D64 && var3 == M5 && var66 == JANALU && var81 == BVA4 ) || ( var1 == D64 && var3 == M6 && var66 == JANTOL && var81 == BVM5 ) || ( var1 == D64 && var3 == M6 && var66 == JANTOL && var81 == BVA4 ) || ( var1 == D64 && var3 == M6 && var66 == JANALU && var81 == BVM5 ) || ( var1 == D64 && var3 == M6 && var66 == JANALU && var81 == BVA4 ) || ( var1 == D64 && var3 == M7 && var66 == JANTOL && var81 == BVM5 ) || ( var1 == D64 && var3 == M7 && var66 == JANTOL && var81 == BVA4 ) || ( var1 == D64 && var3 == M7 && var66 == JANALU && var81 == BVM5 ) || ( var1 == D64 && var3 == M7 && var66 == JANALU && var81 == BVA4 ) || ( var1 == D64 && var3 == M8 && var66 == JANTOL && var81 == BVM5 ) || ( var1 == D64 && var3 == M8 && var66 == JANTOL && var81 == BVA4 ) || ( var1 == D64 && var3 == M8 && var66 == JANALU && var81 == BVM5 ) || ( var1 == D64 && var3 == M8 && var66 == JANALU && var81 == BVA4 ) || ( var1 == D64 && var3 == M9 && var66 == JANTOL && var81 == BVM5 ) || ( var1 == D64 && var3 == M9 && var66 == JANTOL && var81 == BVA4 ) || ( var1 == D64 && var3 == M9 && var66 == JANALU && var81 == BVM5 ) || ( var1 == D64 && var3 == M9 && var66 == JANALU && var81 == BVA4 ) || ( var1 == D64 && var3 == MA && var66 == JANTOL && var81 == BVM5 ) || ( var1 == D64 && var3 == MA && var66 == JANTOL && var81 == BVA4 ) || ( var1 == D64 && var3 == MA && var66 == JANALU && var81 == BVM5 ) || ( var1 == D64 && var3 == MA && var66 == JANALU && var81 == BVA4 ) || ( var1 == D64 && var3 == MB && var66 == JANTOL && var81 == BVM5 ) || ( var1 == D64 && var3 == MB && var66 == JANTOL && var81 == BVA4 ) || ( var1 == D64 && var3 == MB && var66 == JANALU && var81 == BVM5 ) || ( var1 == D64 && var3 == MB && var66 == JANALU && var81 == BVA4 ) || ( var1 == D64 && var3 == MC && var66 == JANTOL && var81 == BVM5 ) || ( var1 == D64 && var3 == MC && var66 == JANTOL && var81 == BVA4 ) || ( var1 == D64 && var3 == MC && var66 == JANALU && var81 == BVM5 ) || ( var1 == D64 && var3 == MC && var66 == JANALU && var81 == BVA4 ) || ( var1 == D64 && var3 == MD && var66 == JANTOL && var81 == BVM5 ) || ( var1 == D64 && var3 == MD && var66 == JANTOL && var81 == BVA4 ) || ( var1 == D64 && var3 == MD && var66 == JANALU && var81 == BVM5 ) || ( var1 == D64 && var3 == MD && var66 == JANALU && var81 == BVA4 ) || ( var1 == D64 && var3 == ME && var66 == JANTOL && var81 == BVM5 ) || ( var1 == D64 && var3 == ME && var66 == JANTOL && var81 == BVA4 ) || ( var1 == D64 && var3 == ME && var66 == JANALU && var81 == BVM5 ) || ( var1 == D64 && var3 == ME && var66 == JANALU && var81 == BVA4 ) || ( var1 == D64 && var3 == MF && var66 == JANTOL && var81 == BVM5 ) || ( var1 == D64 && var3 == MF && var66 == JANTOL && var81 == BVA4 ) || ( var1 == D64 && var3 == MF && var66 == JANALU && var81 == BVM5 ) || ( var1 == D64 && var3 == MF && var66 == JANALU && var81 == BVA4 ) || ( var1 == D64 && var3 == MJ && var66 == JANTOL && var81 == BVM5 ) || ( var1 == D64 && var3 == MJ && var66 == JANTOL && var81 == BVA4 ) || ( var1 == D64 && var3 == MJ && var66 == JANALU && var81 == BVM5 ) || ( var1 == D64 && var3 == MJ && var66 == JANALU && var81 == BVA4 ) || ( var1 == D64 && var3 == MK && var66 == JANTOL && var81 == BVM5 ) || ( var1 == D64 && var3 == MK && var66 == JANTOL && var81 == BVA4 ) || ( var1 == D64 && var3 == MK && var66 == JANALU && var81 == BVM5 ) || ( var1 == D64 && var3 == MK && var66 == JANALU && var81 == BVA4 ) || ( var1 == D64 && var3 == ML && var66 == JANTOL && var81 == BVM5 ) || ( var1 == D64 && var3 == ML && var66 == JANTOL && var81 == BVA4 ) || ( var1 == D64 && var3 == ML && var66 == JANALU && var81 == BVM5 ) || ( var1 == D64 && var3 == ML && var66 == JANALU && var81 == BVA4 ) || ( var1 == D64 && var3 == MM && var66 == JANTOL && var81 == BVM5 ) || ( var1 == D64 && var3 == MM && var66 == JANTOL && var81 == BVA4 ) || ( var1 == D64 && var3 == MM && var66 == JANALU && var81 == BVM5 ) || ( var1 == D64 && var3 == MM && var66 == JANALU && var81 == BVA4 ) || ( var1 == D64 && var3 == MS && var66 == JANTOL && var81 == BVM5 ) || ( var1 == D64 && var3 == MS && var66 == JANTOL && var81 == BVA4 ) || ( var1 == D64 && var3 == MS && var66 == JANALU && var81 == BVM5 ) || ( var1 == D64 && var3 == MS && var66 == JANALU && var81 == BVA4 ) || ( var1 == D64 && var3 == MT && var66 == JANTOL && var81 == BVM5 ) || ( var1 == D64 && var3 == MT && var66 == JANTOL && var81 == BVA4 ) || ( var1 == D64 && var3 == MT && var66 == JANALU && var81 == BVM5 ) || ( var1 == D64 && var3 == MT && var66 == JANALU && var81 == BVA4 ) || ( var1 == D64 && var3 == MU && var66 == JANTOL && var81 == BVM5 ) || ( var1 == D64 && var3 == MU && var66 == JANTOL && var81 == BVA4 ) || ( var1 == D64 && var3 == MU && var66 == JANALU && var81 == BVM5 ) || ( var1 == D64 && var3 == MU && var66 == JANALU && var81 == BVA4 ) || ( var1 == D64 && var3 == MN && var66 == JANTOL && var81 == BVM5 ) || ( var1 == D64 && var3 == MN && var66 == JANTOL && var81 == BVA4 ) || ( var1 == D64 && var3 == MN && var66 == JANALU && var81 == BVM5 ) || ( var1 == D64 && var3 == MN && var66 == JANALU && var81 == BVA4 ) || ( var1 == D64 && var3 == MH && var66 == JANTOL && var81 == BVM5 ) || ( var1 == D64 && var3 == MH && var66 == JANTOL && var81 == BVA4 ) || ( var1 == D64 && var3 == MH && var66 == JANALU && var81 == BVM5 ) || ( var1 == D64 && var3 == MH && var66 == JANALU && var81 == BVA4 ) || ( var1 == D64 && var3 == MG && var66 == JANTOL && var81 == BVM5 ) || ( var1 == D64 && var3 == MG && var66 == JANTOL && var81 == BVA4 ) || ( var1 == D64 && var3 == MG && var66 == JANALU && var81 == BVM5 ) || ( var1 == D64 && var3 == MG && var66 == JANALU && var81 == BVA4 ) || ( var1 == D64 && var3 == MY && var66 == JANTOL && var81 == BVM5 ) || ( var1 == D64 && var3 == MY && var66 == JANTOL && var81 == BVA4 ) || ( var1 == D64 && var3 == MY && var66 == JANALU && var81 == BVM5 ) || ( var1 == D64 && var3 == MY && var66 == JANALU && var81 == BVA4 ) || ( var1 == D64 && var3 == NM2K && var66 == JANTOL && var81 == BVM5 ) || ( var1 == D64 && var3 == NM2K && var66 == JANTOL && var81 == BVA4 ) || ( var1 == D64 && var3 == NM2K && var66 == JANALU && var81 == BVM5 ) || ( var1 == D64 && var3 == NM2K && var66 == JANALU && var81 == BVA4 ) || ( var1 == D64 && var3 == NM0C && var66 == JANTOL && var81 == BVM5 ) || ( var1 == D64 && var3 == NM0C && var66 == JANTOL && var81 == BVA4 ) || ( var1 == D64 && var3 == NM0C && var66 == JANALU && var81 == BVM5 ) || ( var1 == D64 && var3 == NM0C && var66 == JANALU && var81 == BVA4 ) || ( var1 == D64 && var3 == ND1G && var66 == JANTOL && var81 == BVM5 ) || ( var1 == D64 && var3 == ND1G && var66 == JANTOL && var81 == BVA4 ) || ( var1 == D64 && var3 == ND1G && var66 == JANALU && var81 == BVM5 ) || ( var1 == D64 && var3 == ND1G && var66 == JANALU && var81 == BVA4 ) || ( var1 == J64 && var3 == M5 && var66 == JANTOL && var81 == BVM5 ) || ( var1 == J64 && var3 == M5 && var66 == JANTOL && var81 == BVA4 ) || ( var1 == J64 && var3 == M5 && var66 == JANALU && var81 == BVM5 ) || ( var1 == J64 && var3 == M5 && var66 == JANALU && var81 == BVA4 ) || ( var1 == J64 && var3 == M6 && var66 == JANTOL && var81 == BVM5 ) || ( var1 == J64 && var3 == M6 && var66 == JANTOL && var81 == BVA4 ) || ( var1 == J64 && var3 == M6 && var66 == JANALU && var81 == BVM5 ) || ( var1 == J64 && var3 == M6 && var66 == JANALU && var81 == BVA4 ) || ( var1 == J64 && var3 == M7 && var66 == JANTOL && var81 == BVM5 ) || ( var1 == J64 && var3 == M7 && var66 == JANTOL && var81 == BVA4 ) || ( var1 == J64 && var3 == M7 && var66 == JANALU && var81 == BVM5 ) || ( var1 == J64 && var3 == M7 && var66 == JANALU && var81 == BVA4 ) || ( var1 == J64 && var3 == M8 && var66 == JANTOL && var81 == BVM5 ) || ( var1 == J64 && var3 == M8 && var66 == JANTOL && var81 == BVA4 ) || ( var1 == J64 && var3 == M8 && var66 == JANALU && var81 == BVM5 ) || ( var1 == J64 && var3 == M8 && var66 == JANALU && var81 == BVA4 ) || ( var1 == J64 && var3 == M9 && var66 == JANTOL && var81 == BVM5 ) || ( var1 == J64 && var3 == M9 && var66 == JANTOL && var81 == BVA4 ) || ( var1 == J64 && var3 == M9 && var66 == JANALU && var81 == BVM5 ) || ( var1 == J64 && var3 == M9 && var66 == JANALU && var81 == BVA4 ) || ( var1 == J64 && var3 == MA && var66 == JANTOL && var81 == BVM5 ) || ( var1 == J64 && var3 == MA && var66 == JANTOL && var81 == BVA4 ) || ( var1 == J64 && var3 == MA && var66 == JANALU && var81 == BVM5 ) || ( var1 == J64 && var3 == MA && var66 == JANALU && var81 == BVA4 ) || ( var1 == J64 && var3 == MB && var66 == JANTOL && var81 == BVM5 ) || ( var1 == J64 && var3 == MB && var66 == JANTOL && var81 == BVA4 ) || ( var1 == J64 && var3 == MB && var66 == JANALU && var81 == BVM5 ) || ( var1 == J64 && var3 == MB && var66 == JANALU && var81 == BVA4 ) || ( var1 == J64 && var3 == MC && var66 == JANTOL && var81 == BVM5 ) || ( var1 == J64 && var3 == MC && var66 == JANTOL && var81 == BVA4 ) || ( var1 == J64 && var3 == MC && var66 == JANALU && var81 == BVM5 ) || ( var1 == J64 && var3 == MC && var66 == JANALU && var81 == BVA4 ) || ( var1 == J64 && var3 == MD && var66 == JANTOL && var81 == BVM5 ) || ( var1 == J64 && var3 == MD && var66 == JANTOL && var81 == BVA4 ) || ( var1 == J64 && var3 == MD && var66 == JANALU && var81 == BVM5 ) || ( var1 == J64 && var3 == MD && var66 == JANALU && var81 == BVA4 ) || ( var1 == J64 && var3 == ME && var66 == JANTOL && var81 == BVM5 ) || ( var1 == J64 && var3 == ME && var66 == JANTOL && var81 == BVA4 ) || ( var1 == J64 && var3 == ME && var66 == JANALU && var81 == BVM5 ) || ( var1 == J64 && var3 == ME && var66 == JANALU && var81 == BVA4 ) || ( var1 == J64 && var3 == MF && var66 == JANTOL && var81 == BVM5 ) || ( var1 == J64 && var3 == MF && var66 == JANTOL && var81 == BVA4 ) || ( var1 == J64 && var3 == MF && var66 == JANALU && var81 == BVM5 ) || ( var1 == J64 && var3 == MF && var66 == JANALU && var81 == BVA4 ) || ( var1 == J64 && var3 == MJ && var66 == JANTOL && var81 == BVM5 ) || ( var1 == J64 && var3 == MJ && var66 == JANTOL && var81 == BVA4 ) || ( var1 == J64 && var3 == MJ && var66 == JANALU && var81 == BVM5 ) || ( var1 == J64 && var3 == MJ && var66 == JANALU && var81 == BVA4 ) || ( var1 == J64 && var3 == MK && var66 == JANTOL && var81 == BVM5 ) || ( var1 == J64 && var3 == MK && var66 == JANTOL && var81 == BVA4 ) || ( var1 == J64 && var3 == MK && var66 == JANALU && var81 == BVM5 ) || ( var1 == J64 && var3 == MK && var66 == JANALU && var81 == BVA4 ) || ( var1 == J64 && var3 == ML && var66 == JANTOL && var81 == BVM5 ) || ( var1 == J64 && var3 == ML && var66 == JANTOL && var81 == BVA4 ) || ( var1 == J64 && var3 == ML && var66 == JANALU && var81 == BVM5 ) || ( var1 == J64 && var3 == ML && var66 == JANALU && var81 == BVA4 ) || ( var1 == J64 && var3 == MM && var66 == JANTOL && var81 == BVM5 ) || ( var1 == J64 && var3 == MM && var66 == JANTOL && var81 == BVA4 ) || ( var1 == J64 && var3 == MM && var66 == JANALU && var81 == BVM5 ) || ( var1 == J64 && var3 == MM && var66 == JANALU && var81 == BVA4 ) || ( var1 == J64 && var3 == MS && var66 == JANTOL && var81 == BVM5 ) || ( var1 == J64 && var3 == MS && var66 == JANTOL && var81 == BVA4 ) || ( var1 == J64 && var3 == MS && var66 == JANALU && var81 == BVM5 ) || ( var1 == J64 && var3 == MS && var66 == JANALU && var81 == BVA4 ) || ( var1 == J64 && var3 == MT && var66 == JANTOL && var81 == BVM5 ) || ( var1 == J64 && var3 == MT && var66 == JANTOL && var81 == BVA4 ) || ( var1 == J64 && var3 == MT && var66 == JANALU && var81 == BVM5 ) || ( var1 == J64 && var3 == MT && var66 == JANALU && var81 == BVA4 ) || ( var1 == J64 && var3 == MU && var66 == JANTOL && var81 == BVM5 ) || ( var1 == J64 && var3 == MU && var66 == JANTOL && var81 == BVA4 ) || ( var1 == J64 && var3 == MU && var66 == JANALU && var81 == BVM5 ) || ( var1 == J64 && var3 == MU && var66 == JANALU && var81 == BVA4 ) || ( var1 == J64 && var3 == MN && var66 == JANTOL && var81 == BVM5 ) || ( var1 == J64 && var3 == MN && var66 == JANTOL && var81 == BVA4 ) || ( var1 == J64 && var3 == MN && var66 == JANALU && var81 == BVM5 ) || ( var1 == J64 && var3 == MN && var66 == JANALU && var81 == BVA4 ) || ( var1 == J64 && var3 == MH && var66 == JANTOL && var81 == BVM5 ) || ( var1 == J64 && var3 == MH && var66 == JANTOL && var81 == BVA4 ) || ( var1 == J64 && var3 == MH && var66 == JANALU && var81 == BVM5 ) || ( var1 == J64 && var3 == MH && var66 == JANALU && var81 == BVA4 ) || ( var1 == J64 && var3 == MG && var66 == JANTOL && var81 == BVM5 ) || ( var1 == J64 && var3 == MG && var66 == JANTOL && var81 == BVA4 ) || ( var1 == J64 && var3 == MG && var66 == JANALU && var81 == BVM5 ) || ( var1 == J64 && var3 == MG && var66 == JANALU && var81 == BVA4 ) || ( var1 == J64 && var3 == MY && var66 == JANTOL && var81 == BVM5 ) || ( var1 == J64 && var3 == MY && var66 == JANTOL && var81 == BVA4 ) || ( var1 == J64 && var3 == MY && var66 == JANALU && var81 == BVM5 ) || ( var1 == J64 && var3 == MY && var66 == JANALU && var81 == BVA4 ) || ( var1 == J64 && var3 == NM2K && var66 == JANTOL && var81 == BVM5 ) || ( var1 == J64 && var3 == NM2K && var66 == JANTOL && var81 == BVA4 ) || ( var1 == J64 && var3 == NM2K && var66 == JANALU && var81 == BVM5 ) || ( var1 == J64 && var3 == NM2K && var66 == JANALU && var81 == BVA4 ) || ( var1 == J64 && var3 == NM0C && var66 == JANTOL && var81 == BVM5 ) || ( var1 == J64 && var3 == NM0C && var66 == JANTOL && var81 == BVA4 ) || ( var1 == J64 && var3 == NM0C && var66 == JANALU && var81 == BVM5 ) || ( var1 == J64 && var3 == NM0C && var66 == JANALU && var81 == BVA4 ) || ( var1 == J64 && var3 == ND1G && var66 == JANTOL && var81 == BVM5 ) || ( var1 == J64 && var3 == ND1G && var66 == JANTOL && var81 == BVA4 ) || ( var1 == J64 && var3 == ND1G && var66 == JANALU && var81 == BVM5 ) || ( var1 == J64 && var3 == ND1G && var66 == JANALU && var81 == BVA4 ) || ( var1 == B64 && var3 == M5 && var66 == JANTOL && var81 == BVM5 ) || ( var1 == B64 && var3 == M5 && var66 == JANTOL && var81 == BVA4 ) || ( var1 == B64 && var3 == M5 && var66 == JANALU && var81 == BVM5 ) || ( var1 == B64 && var3 == M5 && var66 == JANALU && var81 == BVA4 ) || ( var1 == B64 && var3 == M6 && var66 == JANTOL && var81 == BVM5 ) || ( var1 == B64 && var3 == M6 && var66 == JANTOL && var81 == BVA4 ) || ( var1 == B64 && var3 == M6 && var66 == JANALU && var81 == BVM5 ) || ( var1 == B64 && var3 == M6 && var66 == JANALU && var81 == BVA4 ) || ( var1 == B64 && var3 == M7 && var66 == JANTOL && var81 == BVM5 ) || ( var1 == B64 && var3 == M7 && var66 == JANTOL && var81 == BVA4 ) || ( var1 == B64 && var3 == M7 && var66 == JANALU && var81 == BVM5 ) || ( var1 == B64 && var3 == M7 && var66 == JANALU && var81 == BVA4 ) || ( var1 == B64 && var3 == M8 && var66 == JANTOL && var81 == BVM5 ) || ( var1 == B64 && var3 == M8 && var66 == JANTOL && var81 == BVA4 ) || ( var1 == B64 && var3 == M8 && var66 == JANALU && var81 == BVM5 ) || ( var1 == B64 && var3 == M8 && var66 == JANALU && var81 == BVA4 ) || ( var1 == B64 && var3 == M9 && var66 == JANTOL && var81 == BVM5 ) || ( var1 == B64 && var3 == M9 && var66 == JANTOL && var81 == BVA4 ) || ( var1 == B64 && var3 == M9 && var66 == JANALU && var81 == BVM5 ) || ( var1 == B64 && var3 == M9 && var66 == JANALU && var81 == BVA4 ) || ( var1 == B64 && var3 == MA && var66 == JANTOL && var81 == BVM5 ) || ( var1 == B64 && var3 == MA && var66 == JANTOL && var81 == BVA4 ) || ( var1 == B64 && var3 == MA && var66 == JANALU && var81 == BVM5 ) || ( var1 == B64 && var3 == MA && var66 == JANALU && var81 == BVA4 ) || ( var1 == B64 && var3 == MB && var66 == JANTOL && var81 == BVM5 ) || ( var1 == B64 && var3 == MB && var66 == JANTOL && var81 == BVA4 ) || ( var1 == B64 && var3 == MB && var66 == JANALU && var81 == BVM5 ) || ( var1 == B64 && var3 == MB && var66 == JANALU && var81 == BVA4 ) || ( var1 == B64 && var3 == MC && var66 == JANTOL && var81 == BVM5 ) || ( var1 == B64 && var3 == MC && var66 == JANTOL && var81 == BVA4 ) || ( var1 == B64 && var3 == MC && var66 == JANALU && var81 == BVM5 ) || ( var1 == B64 && var3 == MC && var66 == JANALU && var81 == BVA4 ) || ( var1 == B64 && var3 == MD && var66 == JANTOL && var81 == BVM5 ) || ( var1 == B64 && var3 == MD && var66 == JANTOL && var81 == BVA4 ) || ( var1 == B64 && var3 == MD && var66 == JANALU && var81 == BVM5 ) || ( var1 == B64 && var3 == MD && var66 == JANALU && var81 == BVA4 ) || ( var1 == B64 && var3 == ME && var66 == JANTOL && var81 == BVM5 ) || ( var1 == B64 && var3 == ME && var66 == JANTOL && var81 == BVA4 ) || ( var1 == B64 && var3 == ME && var66 == JANALU && var81 == BVM5 ) || ( var1 == B64 && var3 == ME && var66 == JANALU && var81 == BVA4 ) || ( var1 == B64 && var3 == MF && var66 == JANTOL && var81 == BVM5 ) || ( var1 == B64 && var3 == MF && var66 == JANTOL && var81 == BVA4 ) || ( var1 == B64 && var3 == MF && var66 == JANALU && var81 == BVM5 ) || ( var1 == B64 && var3 == MF && var66 == JANALU && var81 == BVA4 ) || ( var1 == B64 && var3 == MJ && var66 == JANTOL && var81 == BVM5 ) || ( var1 == B64 && var3 == MJ && var66 == JANTOL && var81 == BVA4 ) || ( var1 == B64 && var3 == MJ && var66 == JANALU && var81 == BVM5 ) || ( var1 == B64 && var3 == MJ && var66 == JANALU && var81 == BVA4 ) || ( var1 == B64 && var3 == MK && var66 == JANTOL && var81 == BVM5 ) || ( var1 == B64 && var3 == MK && var66 == JANTOL && var81 == BVA4 ) || ( var1 == B64 && var3 == MK && var66 == JANALU && var81 == BVM5 ) || ( var1 == B64 && var3 == MK && var66 == JANALU && var81 == BVA4 ) || ( var1 == B64 && var3 == ML && var66 == JANTOL && var81 == BVM5 ) || ( var1 == B64 && var3 == ML && var66 == JANTOL && var81 == BVA4 ) || ( var1 == B64 && var3 == ML && var66 == JANALU && var81 == BVM5 ) || ( var1 == B64 && var3 == ML && var66 == JANALU && var81 == BVA4 ) || ( var1 == B64 && var3 == MM && var66 == JANTOL && var81 == BVM5 ) || ( var1 == B64 && var3 == MM && var66 == JANTOL && var81 == BVA4 ) || ( var1 == B64 && var3 == MM && var66 == JANALU && var81 == BVM5 ) || ( var1 == B64 && var3 == MM && var66 == JANALU && var81 == BVA4 ) || ( var1 == B64 && var3 == MS && var66 == JANTOL && var81 == BVM5 ) || ( var1 == B64 && var3 == MS && var66 == JANTOL && var81 == BVA4 ) || ( var1 == B64 && var3 == MS && var66 == JANALU && var81 == BVM5 ) || ( var1 == B64 && var3 == MS && var66 == JANALU && var81 == BVA4 ) || ( var1 == B64 && var3 == MT && var66 == JANTOL && var81 == BVM5 ) || ( var1 == B64 && var3 == MT && var66 == JANTOL && var81 == BVA4 ) || ( var1 == B64 && var3 == MT && var66 == JANALU && var81 == BVM5 ) || ( var1 == B64 && var3 == MT && var66 == JANALU && var81 == BVA4 ) || ( var1 == B64 && var3 == MU && var66 == JANTOL && var81 == BVM5 ) || ( var1 == B64 && var3 == MU && var66 == JANTOL && var81 == BVA4 ) || ( var1 == B64 && var3 == MU && var66 == JANALU && var81 == BVM5 ) || ( var1 == B64 && var3 == MU && var66 == JANALU && var81 == BVA4 ) || ( var1 == B64 && var3 == MN && var66 == JANTOL && var81 == BVM5 ) || ( var1 == B64 && var3 == MN && var66 == JANTOL && var81 == BVA4 ) || ( var1 == B64 && var3 == MN && var66 == JANALU && var81 == BVM5 ) || ( var1 == B64 && var3 == MN && var66 == JANALU && var81 == BVA4 ) || ( var1 == B64 && var3 == MH && var66 == JANTOL && var81 == BVM5 ) || ( var1 == B64 && var3 == MH && var66 == JANTOL && var81 == BVA4 ) || ( var1 == B64 && var3 == MH && var66 == JANALU && var81 == BVM5 ) || ( var1 == B64 && var3 == MH && var66 == JANALU && var81 == BVA4 ) || ( var1 == B64 && var3 == MG && var66 == JANTOL && var81 == BVM5 ) || ( var1 == B64 && var3 == MG && var66 == JANTOL && var81 == BVA4 ) || ( var1 == B64 && var3 == MG && var66 == JANALU && var81 == BVM5 ) || ( var1 == B64 && var3 == MG && var66 == JANALU && var81 == BVA4 ) || ( var1 == B64 && var3 == MY && var66 == JANTOL && var81 == BVM5 ) || ( var1 == B64 && var3 == MY && var66 == JANTOL && var81 == BVA4 ) || ( var1 == B64 && var3 == MY && var66 == JANALU && var81 == BVM5 ) || ( var1 == B64 && var3 == MY && var66 == JANALU && var81 == BVA4 ) || ( var1 == B64 && var3 == NM2K && var66 == JANTOL && var81 == BVM5 ) || ( var1 == B64 && var3 == NM2K && var66 == JANTOL && var81 == BVA4 ) || ( var1 == B64 && var3 == NM2K && var66 == JANALU && var81 == BVM5 ) || ( var1 == B64 && var3 == NM2K && var66 == JANALU && var81 == BVA4 ) || ( var1 == B64 && var3 == NM0C && var66 == JANTOL && var81 == BVM5 ) || ( var1 == B64 && var3 == NM0C && var66 == JANTOL && var81 == BVA4 ) || ( var1 == B64 && var3 == NM0C && var66 == JANALU && var81 == BVM5 ) || ( var1 == B64 && var3 == NM0C && var66 == JANALU && var81 == BVA4 ) || ( var1 == B64 && var3 == ND1G && var66 == JANTOL && var81 == BVM5 ) || ( var1 == B64 && var3 == ND1G && var66 == JANTOL && var81 == BVA4 ) || ( var1 == B64 && var3 == ND1G && var66 == JANALU && var81 == BVM5 ) || ( var1 == B64 && var3 == ND1G && var66 == JANALU && var81 == BVA4 ) || ( var1 == F64 && var3 == MJ && var66 == JANTOL && var81 == BVM5 ) || ( var1 == F64 && var3 == MJ && var66 == JANALU && var81 == BVM5 ) || ( var1 == F64 && var3 == MK && var66 == JANTOL && var81 == BVM5 ) || ( var1 == F64 && var3 == MK && var66 == JANALU && var81 == BVM5 ) || ( var1 == F64 && var3 == MY && var66 == JANTOL && var81 == BVM5 ) || ( var1 == F64 && var3 == MY && var66 == JANALU && var81 == BVM5 ) || ( var1 == K25 && var3 == M6 && var66 == JANTOL && var81 == BVM5 ) || ( var1 == K25 && var3 == M6 && var66 == JANALU && var81 == BVM5 ) || ( var1 == K25 && var3 == MD && var66 == JANTOL && var81 == BVM5 ) || ( var1 == K25 && var3 == MD && var66 == JANALU && var81 == BVM5 ) || ( var1 == K25 && var3 == ME && var66 == JANTOL && var81 == BVM5 ) || ( var1 == K25 && var3 == ME && var66 == JANALU && var81 == BVM5 ) || ( var1 == K25 && var3 == MF && var66 == JANTOL && var81 == BVM5 ) || ( var1 == K25 && var3 == MF && var66 == JANALU && var81 == BVM5 ) || ( var1 == K25 && var3 == MJ && var66 == JANTOL && var81 == BVM5 ) || ( var1 == K25 && var3 == MJ && var66 == JANALU && var81 == BVM5 ) || ( var1 == K25 && var3 == ML && var66 == JANTOL && var81 == BVM5 ) || ( var1 == K25 && var3 == ML && var66 == JANALU && var81 == BVM5 ) || ( var1 == V25 && var3 == MJ && var66 == JANTOL && var81 == BVM5 ) || ( var1 == V25 && var3 == MJ && var66 == JANALU && var81 == BVM5 ) ) ); ( ( ( var1 == S64 && var21 == RETROR && var47 == LVAVMA && var48 == SSLVAR ) || ( var1 == S64 && var21 == RETROR && var47 == LVAVMA && var48 == LVARMA ) || ( var1 == S64 && var21 == RETROR && var47 == LVAVEL && var48 == SSLVAR ) || ( var1 == S64 && var21 == RETROR && var47 == LVAVEL && var48 == LVARMA ) || ( var1 == S64 && var21 == RETROE && var47 == LVAVMA && var48 == SSLVAR ) || ( var1 == S64 && var21 == RETROE && var47 == LVAVMA && var48 == LVARMA ) || ( var1 == S64 && var21 == RETROE && var47 == LVAVEL && var48 == SSLVAR ) || ( var1 == S64 && var21 == RETROE && var47 == LVAVEL && var48 == LVARMA ) || ( var1 == S64 && var21 == RETROE && var47 == LVAVEL && var48 == LVAREL ) || ( var1 == L64 && var21 == RETROR && var47 == LVAVMA && var48 == SSLVAR ) || ( var1 == L64 && var21 == RETROR && var47 == LVAVMA && var48 == LVARMA ) || ( var1 == L64 && var21 == RETROR && var47 == LVAVEL && var48 == SSLVAR ) || ( var1 == L64 && var21 == RETROR && var47 == LVAVEL && var48 == LVARMA ) || ( var1 == L64 && var21 == RETROE && var47 == LVAVMA && var48 == SSLVAR ) || ( var1 == L64 && var21 == RETROE && var47 == LVAVMA && var48 == LVARMA ) || ( var1 == L64 && var21 == RETROE && var47 == LVAVEL && var48 == SSLVAR ) || ( var1 == L64 && var21 == RETROE && var47 == LVAVEL && var48 == LVARMA ) || ( var1 == L64 && var21 == RETROE && var47 == LVAVEL && var48 == LVAREL ) || ( var1 == E64 && var21 == RETROR && var47 == LVAVMA && var48 == SSLVAR ) || ( var1 == E64 && var21 == RETROR && var47 == LVAVMA && var48 == LVAREL ) || ( var1 == E64 && var21 == RETROR && var47 == LVAVEL && var48 == SSLVAR ) || ( var1 == E64 && var21 == RETROR && var47 == LVAVEL && var48 == LVAREL ) || ( var1 == E64 && var21 == RETROE && var47 == LVAVMA && var48 == SSLVAR ) || ( var1 == E64 && var21 == RETROE && var47 == LVAVMA && var48 == LVAREL ) || ( var1 == E64 && var21 == RETROE && var47 == LVAVEL && var48 == SSLVAR ) || ( var1 == E64 && var21 == RETROE && var47 == LVAVEL && var48 == LVAREL ) || ( var1 == D64 && var21 == RETROR && var47 == LVAVMA && var48 == SSLVAR ) || ( var1 == D64 && var21 == RETROR && var47 == LVAVEL && var48 == SSLVAR ) || ( var1 == D64 && var21 == RETROE && var47 == LVAVMA && var48 == SSLVAR ) || ( var1 == D64 && var21 == RETROE && var47 == LVAVEL && var48 == SSLVAR ) || ( var1 == D64 && var21 == RETROE && var47 == LVAVEL && var48 == LVAREL ) || ( var1 == J64 && var21 == RETROR && var47 == LVAVMA && var48 == LVARMA ) || ( var1 == J64 && var21 == RETROR && var47 == LVAVEL && var48 == LVARMA ) || ( var1 == J64 && var21 == RETROE && var47 == LVAVMA && var48 == LVARMA ) || ( var1 == J64 && var21 == RETROE && var47 == LVAVEL && var48 == LVARMA ) || ( var1 == J64 && var21 == RETROE && var47 == LVAVEL && var48 == LVAREL ) || ( var1 == B64 && var21 == RETROR && var47 == LVAVMA && var48 == SSLVAR ) || ( var1 == B64 && var21 == RETROR && var47 == LVAVMA && var48 == LVARMA ) || ( var1 == B64 && var21 == RETROR && var47 == LVAVEL && var48 == SSLVAR ) || ( var1 == B64 && var21 == RETROR && var47 == LVAVEL && var48 == LVARMA ) || ( var1 == B64 && var21 == RETROE && var47 == LVAVMA && var48 == SSLVAR ) || ( var1 == B64 && var21 == RETROE && var47 == LVAVMA && var48 == LVARMA ) || ( var1 == B64 && var21 == RETROE && var47 == LVAVEL && var48 == SSLVAR ) || ( var1 == B64 && var21 == RETROE && var47 == LVAVEL && var48 == LVARMA ) || ( var1 == B64 && var21 == RETROE && var47 == LVAVEL && var48 == LVAREL ) || ( var1 == F64 && var21 == RETROR && var47 == LVAVMA && var48 == LVARMA ) || ( var1 == F64 && var21 == RETROR && var47 == LVAVEL && var48 == LVARMA ) || ( var1 == F64 && var21 == RETROE && var47 == LVAVMA && var48 == LVARMA ) || ( var1 == F64 && var21 == RETROE && var47 == LVAVEL && var48 == LVARMA ) || ( var1 == F64 && var21 == RETROE && var47 == LVAVEL && var48 == LVAREL ) || ( var1 == K25 && var21 == RETROR && var47 == LVAVMA && var48 == SSLVAR ) || ( var1 == K25 && var21 == RETROR && var47 == LVAVMA && var48 == LVARMA ) || ( var1 == K25 && var21 == RETROR && var47 == LVAVEL && var48 == SSLVAR ) || ( var1 == K25 && var21 == RETROR && var47 == LVAVEL && var48 == LVARMA ) || ( var1 == V25 && var21 == RETROR && var47 == LVAVMA && var48 == SSLVAR ) || ( var1 == V25 && var21 == RETROR && var47 == LVAVMA && var48 == LVARMA ) || ( var1 == V25 && var21 == RETROR && var47 == LVAVEL && var48 == SSLVAR ) || ( var1 == V25 && var21 == RETROR && var47 == LVAVEL && var48 == LVARMA ) ) ); ( ( ( var3 == M5 && var7 == TEMP && var13 == CHAUFO && var81 == BVM5 ) || ( var3 == M5 && var7 == TEMP && var13 == CHAUFO && var81 == BVA4 ) || ( var3 == M5 && var7 == TEMP && var13 == CA && var81 == BVM5 ) || ( var3 == M5 && var7 == TEMP && var13 == CA && var81 == BVA4 ) || ( var3 == M5 && var7 == GDFROI && var13 == CHAUFO && var81 == BVM5 ) || ( var3 == M5 && var7 == GDFROI && var13 == CHAUFO && var81 == BVA4 ) || ( var3 == M5 && var7 == GDFROI && var13 == CA && var81 == BVM5 ) || ( var3 == M5 && var7 == GDFROI && var13 == CA && var81 == BVA4 ) || ( var3 == M6 && var7 == TEMP && var13 == CHAUFO && var81 == BVM5 ) || ( var3 == M6 && var7 == TEMP && var13 == CHAUFO && var81 == BVA4 ) || ( var3 == M6 && var7 == TEMP && var13 == CA && var81 == BVM5 ) || ( var3 == M6 && var7 == TEMP && var13 == CA && var81 == BVA4 ) || ( var3 == M6 && var7 == GDFROI && var13 == CHAUFO && var81 == BVM5 ) || ( var3 == M6 && var7 == GDFROI && var13 == CHAUFO && var81 == BVA4 ) || ( var3 == M6 && var7 == GDFROI && var13 == CA && var81 == BVM5 ) || ( var3 == M6 && var7 == GDFROI && var13 == CA && var81 == BVA4 ) || ( var3 == M7 && var7 == TEMP && var13 == CHAUFO && var81 == BVM5 ) || ( var3 == M7 && var7 == TEMP && var13 == CHAUFO && var81 == BVA4 ) || ( var3 == M7 && var7 == TEMP && var13 == CA && var81 == BVM5 ) || ( var3 == M7 && var7 == TEMP && var13 == CA && var81 == BVA4 ) || ( var3 == M7 && var7 == GDFROI && var13 == CHAUFO && var81 == BVM5 ) || ( var3 == M7 && var7 == GDFROI && var13 == CHAUFO && var81 == BVA4 ) || ( var3 == M7 && var7 == GDFROI && var13 == CA && var81 == BVM5 ) || ( var3 == M7 && var7 == GDFROI && var13 == CA && var81 == BVA4 ) || ( var3 == M8 && var7 == TEMP && var13 == CHAUFO && var81 == BVM5 ) || ( var3 == M8 && var7 == TEMP && var13 == CHAUFO && var81 == BVA4 ) || ( var3 == M8 && var7 == TEMP && var13 == CA && var81 == BVM5 ) || ( var3 == M8 && var7 == TEMP && var13 == CA && var81 == BVA4 ) || ( var3 == M8 && var7 == GDFROI && var13 == CHAUFO && var81 == BVM5 ) || ( var3 == M8 && var7 == GDFROI && var13 == CHAUFO && var81 == BVA4 ) || ( var3 == M8 && var7 == GDFROI && var13 == CA && var81 == BVM5 ) || ( var3 == M8 && var7 == GDFROI && var13 == CA && var81 == BVA4 ) || ( var3 == M9 && var7 == TEMP && var13 == CHAUFO && var81 == BVM5 ) || ( var3 == M9 && var7 == TEMP && var13 == CHAUFO && var81 == BVA4 ) || ( var3 == M9 && var7 == TEMP && var13 == CA && var81 == BVM5 ) || ( var3 == M9 && var7 == TEMP && var13 == CA && var81 == BVA4 ) || ( var3 == M9 && var7 == GDFROI && var13 == CHAUFO && var81 == BVM5 ) || ( var3 == M9 && var7 == GDFROI && var13 == CHAUFO && var81 == BVA4 ) || ( var3 == M9 && var7 == GDFROI && var13 == CA && var81 == BVM5 ) || ( var3 == M9 && var7 == GDFROI && var13 == CA && var81 == BVA4 ) || ( var3 == MA && var7 == TEMP && var13 == CHAUFO && var81 == BVM5 ) || ( var3 == MA && var7 == TEMP && var13 == CHAUFO && var81 == BVA4 ) || ( var3 == MA && var7 == TEMP && var13 == CA && var81 == BVM5 ) || ( var3 == MA && var7 == TEMP && var13 == CA && var81 == BVA4 ) || ( var3 == MA && var7 == GDFROI && var13 == CHAUFO && var81 == BVM5 ) || ( var3 == MA && var7 == GDFROI && var13 == CHAUFO && var81 == BVA4 ) || ( var3 == MA && var7 == GDFROI && var13 == CA && var81 == BVM5 ) || ( var3 == MA && var7 == GDFROI && var13 == CA && var81 == BVA4 ) || ( var3 == MB && var7 == TEMP && var13 == CHAUFO && var81 == BVM5 ) || ( var3 == MB && var7 == TEMP && var13 == CHAUFO && var81 == BVA4 ) || ( var3 == MB && var7 == TEMP && var13 == CA && var81 == BVM5 ) || ( var3 == MB && var7 == TEMP && var13 == CA && var81 == BVA4 ) || ( var3 == MB && var7 == GDFROI && var13 == CHAUFO && var81 == BVM5 ) || ( var3 == MB && var7 == GDFROI && var13 == CHAUFO && var81 == BVA4 ) || ( var3 == MB && var7 == GDFROI && var13 == CA && var81 == BVM5 ) || ( var3 == MB && var7 == GDFROI && var13 == CA && var81 == BVA4 ) || ( var3 == MC && var7 == TEMP && var13 == CHAUFO && var81 == BVM5 ) || ( var3 == MC && var7 == TEMP && var13 == CHAUFO && var81 == BVA4 ) || ( var3 == MC && var7 == TEMP && var13 == CA && var81 == BVM5 ) || ( var3 == MC && var7 == TEMP && var13 == CA && var81 == BVA4 ) || ( var3 == MC && var7 == GDFROI && var13 == CHAUFO && var81 == BVM5 ) || ( var3 == MC && var7 == GDFROI && var13 == CHAUFO && var81 == BVA4 ) || ( var3 == MC && var7 == GDFROI && var13 == CA && var81 == BVM5 ) || ( var3 == MC && var7 == GDFROI && var13 == CA && var81 == BVA4 ) || ( var3 == MD && var7 == TEMP && var13 == CHAUFO && var81 == BVM5 ) || ( var3 == MD && var7 == TEMP && var13 == CHAUFO && var81 == BVA4 ) || ( var3 == MD && var7 == TEMP && var13 == CA && var81 == BVM5 ) || ( var3 == MD && var7 == TEMP && var13 == CA && var81 == BVA4 ) || ( var3 == MD && var7 == GDFROI && var13 == CHAUFO && var81 == BVM5 ) || ( var3 == MD && var7 == GDFROI && var13 == CHAUFO && var81 == BVA4 ) || ( var3 == MD && var7 == GDFROI && var13 == CA && var81 == BVM5 ) || ( var3 == MD && var7 == GDFROI && var13 == CA && var81 == BVA4 ) || ( var3 == ME && var7 == TEMP && var13 == CHAUFO && var81 == BVM5 ) || ( var3 == ME && var7 == TEMP && var13 == CHAUFO && var81 == BVA4 ) || ( var3 == ME && var7 == TEMP && var13 == CA && var81 == BVM5 ) || ( var3 == ME && var7 == TEMP && var13 == CA && var81 == BVA4 ) || ( var3 == ME && var7 == GDFROI && var13 == CHAUFO && var81 == BVM5 ) || ( var3 == ME && var7 == GDFROI && var13 == CHAUFO && var81 == BVA4 ) || ( var3 == ME && var7 == GDFROI && var13 == CA && var81 == BVM5 ) || ( var3 == ME && var7 == GDFROI && var13 == CA && var81 == BVA4 ) || ( var3 == MF && var7 == TEMP && var13 == CHAUFO && var81 == BVM5 ) || ( var3 == MF && var7 == TEMP && var13 == CHAUFO && var81 == BVA4 ) || ( var3 == MF && var7 == TEMP && var13 == CA && var81 == BVM5 ) || ( var3 == MF && var7 == TEMP && var13 == CA && var81 == BVA4 ) || ( var3 == MF && var7 == GDFROI && var13 == CHAUFO && var81 == BVM5 ) || ( var3 == MF && var7 == GDFROI && var13 == CHAUFO && var81 == BVA4 ) || ( var3 == MF && var7 == GDFROI && var13 == CA && var81 == BVM5 ) || ( var3 == MJ && var7 == TEMP && var13 == CHAUFO && var81 == BVM5 ) || ( var3 == MJ && var7 == TEMP && var13 == CHAUFO && var81 == BVA4 ) || ( var3 == MJ && var7 == TEMP && var13 == CA && var81 == BVM5 ) || ( var3 == MJ && var7 == TEMP && var13 == CA && var81 == BVA4 ) || ( var3 == MJ && var7 == GDFROI && var13 == CHAUFO && var81 == BVM5 ) || ( var3 == MJ && var7 == GDFROI && var13 == CHAUFO && var81 == BVA4 ) || ( var3 == MJ && var7 == GDFROI && var13 == CA && var81 == BVM5 ) || ( var3 == MJ && var7 == GDFROI && var13 == CA && var81 == BVA4 ) || ( var3 == MK && var7 == TEMP && var13 == CHAUFO && var81 == BVM5 ) || ( var3 == MK && var7 == TEMP && var13 == CHAUFO && var81 == BVA4 ) || ( var3 == MK && var7 == TEMP && var13 == CA && var81 == BVM5 ) || ( var3 == MK && var7 == TEMP && var13 == CA && var81 == BVA4 ) || ( var3 == MK && var7 == GDFROI && var13 == CHAUFO && var81 == BVM5 ) || ( var3 == MK && var7 == GDFROI && var13 == CHAUFO && var81 == BVA4 ) || ( var3 == MK && var7 == GDFROI && var13 == CA && var81 == BVM5 ) || ( var3 == MK && var7 == GDFROI && var13 == CA && var81 == BVA4 ) || ( var3 == ML && var7 == TEMP && var13 == CHAUFO && var81 == BVM5 ) || ( var3 == ML && var7 == TEMP && var13 == CHAUFO && var81 == BVA4 ) || ( var3 == ML && var7 == TEMP && var13 == CA && var81 == BVM5 ) || ( var3 == ML && var7 == TEMP && var13 == CA && var81 == BVA4 ) || ( var3 == ML && var7 == GDFROI && var13 == CHAUFO && var81 == BVM5 ) || ( var3 == ML && var7 == GDFROI && var13 == CHAUFO && var81 == BVA4 ) || ( var3 == ML && var7 == GDFROI && var13 == CA && var81 == BVM5 ) || ( var3 == ML && var7 == GDFROI && var13 == CA && var81 == BVA4 ) || ( var3 == MM && var7 == TEMP && var13 == CHAUFO && var81 == BVM5 ) || ( var3 == MM && var7 == TEMP && var13 == CHAUFO && var81 == BVA4 ) || ( var3 == MM && var7 == TEMP && var13 == CA && var81 == BVM5 ) || ( var3 == MM && var7 == TEMP && var13 == CA && var81 == BVA4 ) || ( var3 == MM && var7 == GDFROI && var13 == CHAUFO && var81 == BVM5 ) || ( var3 == MM && var7 == GDFROI && var13 == CHAUFO && var81 == BVA4 ) || ( var3 == MM && var7 == GDFROI && var13 == CA && var81 == BVM5 ) || ( var3 == MM && var7 == GDFROI && var13 == CA && var81 == BVA4 ) || ( var3 == MS && var7 == TEMP && var13 == CHAUFO && var81 == BVM5 ) || ( var3 == MS && var7 == TEMP && var13 == CHAUFO && var81 == BVA4 ) || ( var3 == MS && var7 == TEMP && var13 == CA && var81 == BVM5 ) || ( var3 == MS && var7 == TEMP && var13 == CA && var81 == BVA4 ) || ( var3 == MS && var7 == GDFROI && var13 == CHAUFO && var81 == BVM5 ) || ( var3 == MS && var7 == GDFROI && var13 == CHAUFO && var81 == BVA4 ) || ( var3 == MS && var7 == GDFROI && var13 == CA && var81 == BVM5 ) || ( var3 == MS && var7 == GDFROI && var13 == CA && var81 == BVA4 ) || ( var3 == MT && var7 == TEMP && var13 == CHAUFO && var81 == BVM5 ) || ( var3 == MT && var7 == TEMP && var13 == CHAUFO && var81 == BVA4 ) || ( var3 == MT && var7 == TEMP && var13 == CA && var81 == BVM5 ) || ( var3 == MT && var7 == TEMP && var13 == CA && var81 == BVA4 ) || ( var3 == MT && var7 == GDFROI && var13 == CHAUFO && var81 == BVM5 ) || ( var3 == MT && var7 == GDFROI && var13 == CHAUFO && var81 == BVA4 ) || ( var3 == MT && var7 == GDFROI && var13 == CA && var81 == BVM5 ) || ( var3 == MT && var7 == GDFROI && var13 == CA && var81 == BVA4 ) || ( var3 == MU && var7 == TEMP && var13 == CHAUFO && var81 == BVM5 ) || ( var3 == MU && var7 == TEMP && var13 == CHAUFO && var81 == BVA4 ) || ( var3 == MU && var7 == TEMP && var13 == CA && var81 == BVM5 ) || ( var3 == MU && var7 == TEMP && var13 == CA && var81 == BVA4 ) || ( var3 == MU && var7 == GDFROI && var13 == CHAUFO && var81 == BVM5 ) || ( var3 == MU && var7 == GDFROI && var13 == CHAUFO && var81 == BVA4 ) || ( var3 == MU && var7 == GDFROI && var13 == CA && var81 == BVM5 ) || ( var3 == MU && var7 == GDFROI && var13 == CA && var81 == BVA4 ) || ( var3 == MN && var7 == TEMP && var13 == CHAUFO && var81 == BVM5 ) || ( var3 == MN && var7 == TEMP && var13 == CHAUFO && var81 == BVA4 ) || ( var3 == MN && var7 == TEMP && var13 == CA && var81 == BVM5 ) || ( var3 == MN && var7 == TEMP && var13 == CA && var81 == BVA4 ) || ( var3 == MN && var7 == GDFROI && var13 == CHAUFO && var81 == BVM5 ) || ( var3 == MN && var7 == GDFROI && var13 == CHAUFO && var81 == BVA4 ) || ( var3 == MN && var7 == GDFROI && var13 == CA && var81 == BVM5 ) || ( var3 == MN && var7 == GDFROI && var13 == CA && var81 == BVA4 ) || ( var3 == MH && var7 == TEMP && var13 == CHAUFO && var81 == BVM5 ) || ( var3 == MH && var7 == TEMP && var13 == CHAUFO && var81 == BVA4 ) || ( var3 == MH && var7 == TEMP && var13 == CA && var81 == BVM5 ) || ( var3 == MH && var7 == TEMP && var13 == CA && var81 == BVA4 ) || ( var3 == MH && var7 == GDFROI && var13 == CHAUFO && var81 == BVM5 ) || ( var3 == MH && var7 == GDFROI && var13 == CHAUFO && var81 == BVA4 ) || ( var3 == MH && var7 == GDFROI && var13 == CA && var81 == BVM5 ) || ( var3 == MH && var7 == GDFROI && var13 == CA && var81 == BVA4 ) || ( var3 == MG && var7 == TEMP && var13 == CHAUFO && var81 == BVM5 ) || ( var3 == MG && var7 == TEMP && var13 == CHAUFO && var81 == BVA4 ) || ( var3 == MG && var7 == TEMP && var13 == CA && var81 == BVM5 ) || ( var3 == MG && var7 == TEMP && var13 == CA && var81 == BVA4 ) || ( var3 == MG && var7 == GDFROI && var13 == CHAUFO && var81 == BVM5 ) || ( var3 == MG && var7 == GDFROI && var13 == CHAUFO && var81 == BVA4 ) || ( var3 == MG && var7 == GDFROI && var13 == CA && var81 == BVM5 ) || ( var3 == MG && var7 == GDFROI && var13 == CA && var81 == BVA4 ) || ( var3 == MY && var7 == TEMP && var13 == CHAUFO && var81 == BVM5 ) || ( var3 == MY && var7 == TEMP && var13 == CHAUFO && var81 == BVA4 ) || ( var3 == MY && var7 == TEMP && var13 == CA && var81 == BVM5 ) || ( var3 == MY && var7 == TEMP && var13 == CA && var81 == BVA4 ) || ( var3 == MY && var7 == GDFROI && var13 == CHAUFO && var81 == BVM5 ) || ( var3 == MY && var7 == GDFROI && var13 == CHAUFO && var81 == BVA4 ) || ( var3 == MY && var7 == GDFROI && var13 == CA && var81 == BVM5 ) || ( var3 == MY && var7 == GDFROI && var13 == CA && var81 == BVA4 ) || ( var3 == NM2K && var7 == TEMP && var13 == CHAUFO && var81 == BVM5 ) || ( var3 == NM2K && var7 == TEMP && var13 == CHAUFO && var81 == BVA4 ) || ( var3 == NM2K && var7 == TEMP && var13 == CA && var81 == BVM5 ) || ( var3 == NM2K && var7 == TEMP && var13 == CA && var81 == BVA4 ) || ( var3 == NM2K && var7 == GDFROI && var13 == CHAUFO && var81 == BVM5 ) || ( var3 == NM2K && var7 == GDFROI && var13 == CHAUFO && var81 == BVA4 ) || ( var3 == NM2K && var7 == GDFROI && var13 == CA && var81 == BVM5 ) || ( var3 == NM2K && var7 == GDFROI && var13 == CA && var81 == BVA4 ) || ( var3 == NM0C && var7 == TEMP && var13 == CHAUFO && var81 == BVM5 ) || ( var3 == NM0C && var7 == TEMP && var13 == CHAUFO && var81 == BVA4 ) || ( var3 == NM0C && var7 == TEMP && var13 == CA && var81 == BVM5 ) || ( var3 == NM0C && var7 == TEMP && var13 == CA && var81 == BVA4 ) || ( var3 == NM0C && var7 == GDFROI && var13 == CHAUFO && var81 == BVM5 ) || ( var3 == NM0C && var7 == GDFROI && var13 == CHAUFO && var81 == BVA4 ) || ( var3 == NM0C && var7 == GDFROI && var13 == CA && var81 == BVM5 ) || ( var3 == NM0C && var7 == GDFROI && var13 == CA && var81 == BVA4 ) || ( var3 == ND1G && var7 == TEMP && var13 == CHAUFO && var81 == BVM5 ) || ( var3 == ND1G && var7 == TEMP && var13 == CHAUFO && var81 == BVA4 ) || ( var3 == ND1G && var7 == TEMP && var13 == CA && var81 == BVM5 ) || ( var3 == ND1G && var7 == TEMP && var13 == CA && var81 == BVA4 ) || ( var3 == ND1G && var7 == GDFROI && var13 == CHAUFO && var81 == BVM5 ) || ( var3 == ND1G && var7 == GDFROI && var13 == CHAUFO && var81 == BVA4 ) || ( var3 == ND1G && var7 == GDFROI && var13 == CA && var81 == BVM5 ) || ( var3 == ND1G && var7 == GDFROI && var13 == CA && var81 == BVA4 ) ) ); ( ( ( var3 == M5 && var9 == DM && var11 == SSABS ) || ( var3 == M5 && var9 == DA && var11 == SSABS ) || ( var3 == M5 && var9 == DA && var11 == ABS ) || ( var3 == M6 && var9 == DM && var11 == SSABS ) || ( var3 == M6 && var9 == DA && var11 == SSABS ) || ( var3 == M6 && var9 == DA && var11 == ABS ) || ( var3 == M7 && var9 == DM && var11 == SSABS ) || ( var3 == M7 && var9 == DA && var11 == SSABS ) || ( var3 == M7 && var9 == DA && var11 == ABS ) || ( var3 == M8 && var9 == DM && var11 == SSABS ) || ( var3 == M8 && var9 == DA && var11 == SSABS ) || ( var3 == M8 && var9 == DA && var11 == ABS ) || ( var3 == M9 && var9 == DM && var11 == SSABS ) || ( var3 == M9 && var9 == DA && var11 == SSABS ) || ( var3 == M9 && var9 == DA && var11 == ABS ) || ( var3 == MA && var9 == DM && var11 == SSABS ) || ( var3 == MA && var9 == DA && var11 == SSABS ) || ( var3 == MA && var9 == DA && var11 == ABS ) || ( var3 == MB && var9 == DM && var11 == SSABS ) || ( var3 == MB && var9 == DA && var11 == SSABS ) || ( var3 == MB && var9 == DA && var11 == ABS ) || ( var3 == MC && var9 == DM && var11 == SSABS ) || ( var3 == MC && var9 == DA && var11 == SSABS ) || ( var3 == MC && var9 == DA && var11 == ABS ) || ( var3 == MD && var9 == DM && var11 == SSABS ) || ( var3 == MD && var9 == DA && var11 == SSABS ) || ( var3 == MD && var9 == DA && var11 == ABS ) || ( var3 == ME && var9 == DM && var11 == SSABS ) || ( var3 == ME && var9 == DA && var11 == SSABS ) || ( var3 == ME && var9 == DA && var11 == ABS ) || ( var3 == MF && var9 == DM && var11 == SSABS ) || ( var3 == MF && var9 == DA && var11 == SSABS ) || ( var3 == MF && var9 == DA && var11 == ABS ) || ( var3 == MJ && var9 == DM && var11 == SSABS ) || ( var3 == MJ && var9 == DA && var11 == SSABS ) || ( var3 == MJ && var9 == DA && var11 == ABS ) || ( var3 == MK && var9 == DM && var11 == SSABS ) || ( var3 == MK && var9 == DA && var11 == SSABS ) || ( var3 == MK && var9 == DA && var11 == ABS ) || ( var3 == ML && var9 == DM && var11 == SSABS ) || ( var3 == ML && var9 == DA && var11 == SSABS ) || ( var3 == ML && var9 == DA && var11 == ABS ) || ( var3 == MM && var9 == DM && var11 == SSABS ) || ( var3 == MM && var9 == DA && var11 == SSABS ) || ( var3 == MM && var9 == DA && var11 == ABS ) || ( var3 == MS && var9 == DM && var11 == SSABS ) || ( var3 == MS && var9 == DA && var11 == SSABS ) || ( var3 == MS && var9 == DA && var11 == ABS ) || ( var3 == MT && var9 == DM && var11 == SSABS ) || ( var3 == MT && var9 == DM && var11 == ABS ) || ( var3 == MT && var9 == DA && var11 == SSABS ) || ( var3 == MT && var9 == DA && var11 == ABS ) || ( var3 == MU && var9 == DM && var11 == SSABS ) || ( var3 == MU && var9 == DA && var11 == SSABS ) || ( var3 == MU && var9 == DA && var11 == ABS ) || ( var3 == MN && var9 == DM && var11 == SSABS ) || ( var3 == MN && var9 == DA && var11 == SSABS ) || ( var3 == MN && var9 == DA && var11 == ABS ) || ( var3 == MH && var9 == DM && var11 == SSABS ) || ( var3 == MH && var9 == DA && var11 == SSABS ) || ( var3 == MH && var9 == DA && var11 == ABS ) || ( var3 == MG && var9 == DM && var11 == SSABS ) || ( var3 == MG && var9 == DA && var11 == SSABS ) || ( var3 == MG && var9 == DA && var11 == ABS ) || ( var3 == MY && var9 == DM && var11 == SSABS ) || ( var3 == MY && var9 == DA && var11 == SSABS ) || ( var3 == MY && var9 == DA && var11 == ABS ) || ( var3 == NM2K && var9 == DM && var11 == SSABS ) || ( var3 == NM2K && var9 == DA && var11 == SSABS ) || ( var3 == NM2K && var9 == DA && var11 == ABS ) || ( var3 == NM0C && var9 == DM && var11 == SSABS ) || ( var3 == NM0C && var9 == DM && var11 == ABS ) || ( var3 == NM0C && var9 == DA && var11 == SSABS ) || ( var3 == NM0C && var9 == DA && var11 == ABS ) || ( var3 == ND1G && var9 == DM && var11 == SSABS ) || ( var3 == ND1G && var9 == DA && var11 == SSABS ) || ( var3 == ND1G && var9 == DA && var11 == ABS ) ) ); ( ( ( var1 == S64 && var29 == DRA && var48 == SSLVAR ) || ( var1 == S64 && var29 == DRA && var48 == LVARMA ) || ( var1 == S64 && var29 == DRA && var48 == LVAREL ) || ( var1 == S64 && var29 == CUI && var48 == LVAREL ) || ( var1 == L64 && var29 == DRA && var48 == SSLVAR ) || ( var1 == L64 && var29 == DRA && var48 == LVARMA ) || ( var1 == L64 && var29 == DRA && var48 == LVAREL ) || ( var1 == L64 && var29 == CUI && var48 == LVAREL ) || ( var1 == E64 && var29 == DRA && var48 == SSLVAR ) || ( var1 == E64 && var29 == DRA && var48 == LVAREL ) || ( var1 == E64 && var29 == CUI && var48 == LVAREL ) || ( var1 == D64 && var29 == DRA && var48 == SSLVAR ) || ( var1 == D64 && var29 == DRA && var48 == LVAREL ) || ( var1 == D64 && var29 == CUI && var48 == SSLVAR ) || ( var1 == D64 && var29 == CUI && var48 == LVAREL ) || ( var1 == J64 && var29 == DRA && var48 == LVARMA ) || ( var1 == J64 && var29 == DRA && var48 == LVAREL ) || ( var1 == J64 && var29 == CUI && var48 == LVAREL ) || ( var1 == B64 && var29 == DRA && var48 == SSLVAR ) || ( var1 == B64 && var29 == DRA && var48 == LVARMA ) || ( var1 == B64 && var29 == DRA && var48 == LVAREL ) || ( var1 == B64 && var29 == CUI && var48 == LVAREL ) || ( var1 == F64 && var29 == DRA && var48 == LVARMA ) || ( var1 == F64 && var29 == DRA && var48 == LVAREL ) || ( var1 == F64 && var29 == CUI && var48 == LVAREL ) || ( var1 == K25 && var29 == DRA && var48 == SSLVAR ) || ( var1 == K25 && var29 == DRA && var48 == LVARMA ) || ( var1 == V25 && var29 == DRA && var48 == SSLVAR ) || ( var1 == V25 && var29 == DRA && var48 == LVARMA ) ) ); ( ( ( var1 == S64 && var2 == E1 && var6 == DG && var19 == CPE && var47 == LVAVMA ) || ( var1 == S64 && var2 == E1 && var6 == DG && var19 == CPE && var47 == LVAVEL ) || ( var1 == S64 && var2 == E1 && var6 == DG && var19 == SSCPE && var47 == LVAVMA ) || ( var1 == S64 && var2 == E1 && var6 == DD && var19 == CPE && var47 == LVAVMA ) || ( var1 == S64 && var2 == E1 && var6 == DD && var19 == CPE && var47 == LVAVEL ) || ( var1 == S64 && var2 == E1 && var6 == DD && var19 == SSCPE && var47 == LVAVMA ) || ( var1 == S64 && var2 == E1 && var6 == DD && var19 == SSCPE && var47 == LVAVEL ) || ( var1 == S64 && var2 == E2 && var6 == DG && var19 == CPE && var47 == LVAVMA ) || ( var1 == S64 && var2 == E2 && var6 == DG && var19 == CPE && var47 == LVAVEL ) || ( var1 == S64 && var2 == E2 && var6 == DG && var19 == SSCPE && var47 == LVAVMA ) || ( var1 == S64 && var2 == E2 && var6 == DG && var19 == SSCPE && var47 == LVAVEL ) || ( var1 == S64 && var2 == E2 && var6 == DD && var19 == CPE && var47 == LVAVMA ) || ( var1 == S64 && var2 == E2 && var6 == DD && var19 == CPE && var47 == LVAVEL ) || ( var1 == S64 && var2 == E2 && var6 == DD && var19 == SSCPE && var47 == LVAVMA ) || ( var1 == S64 && var2 == E2 && var6 == DD && var19 == SSCPE && var47 == LVAVEL ) || ( var1 == L64 && var2 == E1 && var6 == DG && var19 == CPE && var47 == LVAVMA ) || ( var1 == L64 && var2 == E1 && var6 == DG && var19 == CPE && var47 == LVAVEL ) || ( var1 == L64 && var2 == E1 && var6 == DG && var19 == SSCPE && var47 == LVAVMA ) || ( var1 == L64 && var2 == E1 && var6 == DD && var19 == CPE && var47 == LVAVMA ) || ( var1 == L64 && var2 == E1 && var6 == DD && var19 == CPE && var47 == LVAVEL ) || ( var1 == L64 && var2 == E1 && var6 == DD && var19 == SSCPE && var47 == LVAVMA ) || ( var1 == L64 && var2 == E1 && var6 == DD && var19 == SSCPE && var47 == LVAVEL ) || ( var1 == L64 && var2 == E2 && var6 == DG && var19 == CPE && var47 == LVAVMA ) || ( var1 == L64 && var2 == E2 && var6 == DG && var19 == CPE && var47 == LVAVEL ) || ( var1 == L64 && var2 == E2 && var6 == DG && var19 == SSCPE && var47 == LVAVMA ) || ( var1 == L64 && var2 == E2 && var6 == DG && var19 == SSCPE && var47 == LVAVEL ) || ( var1 == L64 && var2 == E2 && var6 == DD && var19 == CPE && var47 == LVAVMA ) || ( var1 == L64 && var2 == E2 && var6 == DD && var19 == CPE && var47 == LVAVEL ) || ( var1 == L64 && var2 == E2 && var6 == DD && var19 == SSCPE && var47 == LVAVMA ) || ( var1 == L64 && var2 == E2 && var6 == DD && var19 == SSCPE && var47 == LVAVEL ) || ( var1 == L64 && var2 == E3 && var6 == DG && var19 == CPE && var47 == LVAVMA ) || ( var1 == L64 && var2 == E3 && var6 == DG && var19 == CPE && var47 == LVAVEL ) || ( var1 == L64 && var2 == E3 && var6 == DG && var19 == SSCPE && var47 == LVAVMA ) || ( var1 == L64 && var2 == E3 && var6 == DG && var19 == SSCPE && var47 == LVAVEL ) || ( var1 == L64 && var2 == E3 && var6 == DD && var19 == CPE && var47 == LVAVMA ) || ( var1 == L64 && var2 == E3 && var6 == DD && var19 == CPE && var47 == LVAVEL ) || ( var1 == L64 && var2 == E3 && var6 == DD && var19 == SSCPE && var47 == LVAVMA ) || ( var1 == L64 && var2 == E3 && var6 == DD && var19 == SSCPE && var47 == LVAVEL ) || ( var1 == E64 && var2 == E0 && var6 == DG && var19 == CPE && var47 == LVAVMA ) || ( var1 == E64 && var2 == E0 && var6 == DG && var19 == CPE && var47 == LVAVEL ) || ( var1 == E64 && var2 == E0 && var6 == DD && var19 == CPE && var47 == LVAVMA ) || ( var1 == E64 && var2 == E0 && var6 == DD && var19 == CPE && var47 == LVAVEL ) || ( var1 == E64 && var2 == E1 && var6 == DG && var19 == CPE && var47 == LVAVMA ) || ( var1 == E64 && var2 == E1 && var6 == DG && var19 == CPE && var47 == LVAVEL ) || ( var1 == E64 && var2 == E1 && var6 == DD && var19 == CPE && var47 == LVAVMA ) || ( var1 == E64 && var2 == E1 && var6 == DD && var19 == CPE && var47 == LVAVEL ) || ( var1 == E64 && var2 == E2 && var6 == DG && var19 == CPE && var47 == LVAVMA ) || ( var1 == E64 && var2 == E2 && var6 == DG && var19 == CPE && var47 == LVAVEL ) || ( var1 == E64 && var2 == E2 && var6 == DD && var19 == CPE && var47 == LVAVMA ) || ( var1 == E64 && var2 == E2 && var6 == DD && var19 == CPE && var47 == LVAVEL ) || ( var1 == E64 && var2 == E3 && var6 == DG && var19 == CPE && var47 == LVAVMA ) || ( var1 == E64 && var2 == E3 && var6 == DG && var19 == CPE && var47 == LVAVEL ) || ( var1 == E64 && var2 == E3 && var6 == DD && var19 == CPE && var47 == LVAVMA ) || ( var1 == E64 && var2 == E3 && var6 == DD && var19 == CPE && var47 == LVAVEL ) || ( var1 == E64 && var2 == E5 && var6 == DG && var19 == CPE && var47 == LVAVMA ) || ( var1 == E64 && var2 == E5 && var6 == DG && var19 == CPE && var47 == LVAVEL ) || ( var1 == E64 && var2 == E5 && var6 == DD && var19 == CPE && var47 == LVAVMA ) || ( var1 == E64 && var2 == E5 && var6 == DD && var19 == CPE && var47 == LVAVEL ) || ( var1 == D64 && var2 == E2 && var6 == DG && var19 == CPE && var47 == LVAVMA ) || ( var1 == D64 && var2 == E2 && var6 == DG && var19 == CPE && var47 == LVAVEL ) || ( var1 == D64 && var2 == E2 && var6 == DD && var19 == CPE && var47 == LVAVMA ) || ( var1 == D64 && var2 == E2 && var6 == DD && var19 == CPE && var47 == LVAVEL ) || ( var1 == D64 && var2 == E3 && var6 == DG && var19 == CPE && var47 == LVAVMA ) || ( var1 == D64 && var2 == E3 && var6 == DG && var19 == CPE && var47 == LVAVEL ) || ( var1 == D64 && var2 == E3 && var6 == DD && var19 == CPE && var47 == LVAVMA ) || ( var1 == D64 && var2 == E3 && var6 == DD && var19 == CPE && var47 == LVAVEL ) || ( var1 == J64 && var2 == E2 && var6 == DG && var19 == CPE && var47 == LVAVMA ) || ( var1 == J64 && var2 == E2 && var6 == DG && var19 == CPE && var47 == LVAVEL ) || ( var1 == J64 && var2 == E2 && var6 == DD && var19 == CPE && var47 == LVAVMA ) || ( var1 == J64 && var2 == E2 && var6 == DD && var19 == CPE && var47 == LVAVEL ) || ( var1 == J64 && var2 == E3 && var6 == DG && var19 == CPE && var47 == LVAVMA ) || ( var1 == J64 && var2 == E3 && var6 == DG && var19 == CPE && var47 == LVAVEL ) || ( var1 == J64 && var2 == E3 && var6 == DD && var19 == CPE && var47 == LVAVMA ) || ( var1 == J64 && var2 == E3 && var6 == DD && var19 == CPE && var47 == LVAVEL ) || ( var1 == B64 && var2 == E1 && var6 == DG && var19 == CPE && var47 == LVAVMA ) || ( var1 == B64 && var2 == E1 && var6 == DG && var19 == CPE && var47 == LVAVEL ) || ( var1 == B64 && var2 == E1 && var6 == DG && var19 == SSCPE && var47 == LVAVMA ) || ( var1 == B64 && var2 == E1 && var6 == DD && var19 == CPE && var47 == LVAVMA ) || ( var1 == B64 && var2 == E1 && var6 == DD && var19 == CPE && var47 == LVAVEL ) || ( var1 == B64 && var2 == E1 && var6 == DD && var19 == SSCPE && var47 == LVAVMA ) || ( var1 == B64 && var2 == E1 && var6 == DD && var19 == SSCPE && var47 == LVAVEL ) || ( var1 == B64 && var2 == E2 && var6 == DG && var19 == CPE && var47 == LVAVMA ) || ( var1 == B64 && var2 == E2 && var6 == DG && var19 == CPE && var47 == LVAVEL ) || ( var1 == B64 && var2 == E2 && var6 == DG && var19 == SSCPE && var47 == LVAVMA ) || ( var1 == B64 && var2 == E2 && var6 == DG && var19 == SSCPE && var47 == LVAVEL ) || ( var1 == B64 && var2 == E2 && var6 == DD && var19 == CPE && var47 == LVAVMA ) || ( var1 == B64 && var2 == E2 && var6 == DD && var19 == CPE && var47 == LVAVEL ) || ( var1 == B64 && var2 == E2 && var6 == DD && var19 == SSCPE && var47 == LVAVMA ) || ( var1 == B64 && var2 == E2 && var6 == DD && var19 == SSCPE && var47 == LVAVEL ) || ( var1 == B64 && var2 == E3 && var6 == DG && var19 == CPE && var47 == LVAVMA ) || ( var1 == B64 && var2 == E3 && var6 == DG && var19 == CPE && var47 == LVAVEL ) || ( var1 == B64 && var2 == E3 && var6 == DG && var19 == SSCPE && var47 == LVAVMA ) || ( var1 == B64 && var2 == E3 && var6 == DG && var19 == SSCPE && var47 == LVAVEL ) || ( var1 == B64 && var2 == E3 && var6 == DD && var19 == CPE && var47 == LVAVMA ) || ( var1 == B64 && var2 == E3 && var6 == DD && var19 == CPE && var47 == LVAVEL ) || ( var1 == B64 && var2 == E3 && var6 == DD && var19 == SSCPE && var47 == LVAVMA ) || ( var1 == B64 && var2 == E3 && var6 == DD && var19 == SSCPE && var47 == LVAVEL ) || ( var1 == B64 && var2 == E5 && var6 == DG && var19 == CPE && var47 == LVAVMA ) || ( var1 == B64 && var2 == E5 && var6 == DG && var19 == CPE && var47 == LVAVEL ) || ( var1 == B64 && var2 == E5 && var6 == DG && var19 == SSCPE && var47 == LVAVMA ) || ( var1 == B64 && var2 == E5 && var6 == DG && var19 == SSCPE && var47 == LVAVEL ) || ( var1 == B64 && var2 == E5 && var6 == DD && var19 == CPE && var47 == LVAVMA ) || ( var1 == B64 && var2 == E5 && var6 == DD && var19 == CPE && var47 == LVAVEL ) || ( var1 == B64 && var2 == E5 && var6 == DD && var19 == SSCPE && var47 == LVAVMA ) || ( var1 == B64 && var2 == E5 && var6 == DD && var19 == SSCPE && var47 == LVAVEL ) || ( var1 == F64 && var2 == E2 && var6 == DG && var19 == CPE && var47 == LVAVMA ) || ( var1 == F64 && var2 == E2 && var6 == DG && var19 == CPE && var47 == LVAVEL ) || ( var1 == K25 && var2 == E0 && var6 == DG && var19 == CPE && var47 == LVAVMA ) || ( var1 == K25 && var2 == E0 && var6 == DG && var19 == CPE && var47 == LVAVEL ) || ( var1 == K25 && var2 == E0 && var6 == DG && var19 == SSCPE && var47 == LVAVMA ) || ( var1 == K25 && var2 == E0 && var6 == DG && var19 == SSCPE && var47 == LVAVEL ) || ( var1 == K25 && var2 == E0 && var6 == DD && var19 == CPE && var47 == LVAVMA ) || ( var1 == K25 && var2 == E0 && var6 == DD && var19 == CPE && var47 == LVAVEL ) || ( var1 == K25 && var2 == E0 && var6 == DD && var19 == SSCPE && var47 == LVAVMA ) || ( var1 == K25 && var2 == E0 && var6 == DD && var19 == SSCPE && var47 == LVAVEL ) || ( var1 == K25 && var2 == E1 && var6 == DG && var19 == CPE && var47 == LVAVMA ) || ( var1 == K25 && var2 == E1 && var6 == DG && var19 == CPE && var47 == LVAVEL ) || ( var1 == K25 && var2 == E1 && var6 == DG && var19 == SSCPE && var47 == LVAVMA ) || ( var1 == K25 && var2 == E1 && var6 == DG && var19 == SSCPE && var47 == LVAVEL ) || ( var1 == K25 && var2 == E1 && var6 == DD && var19 == CPE && var47 == LVAVMA ) || ( var1 == K25 && var2 == E1 && var6 == DD && var19 == CPE && var47 == LVAVEL ) || ( var1 == K25 && var2 == E1 && var6 == DD && var19 == SSCPE && var47 == LVAVMA ) || ( var1 == K25 && var2 == E1 && var6 == DD && var19 == SSCPE && var47 == LVAVEL ) || ( var1 == K25 && var2 == E3 && var6 == DG && var19 == CPE && var47 == LVAVMA ) || ( var1 == K25 && var2 == E3 && var6 == DG && var19 == CPE && var47 == LVAVEL ) || ( var1 == K25 && var2 == E3 && var6 == DG && var19 == SSCPE && var47 == LVAVMA ) || ( var1 == K25 && var2 == E3 && var6 == DG && var19 == SSCPE && var47 == LVAVEL ) || ( var1 == K25 && var2 == E3 && var6 == DD && var19 == CPE && var47 == LVAVMA ) || ( var1 == K25 && var2 == E3 && var6 == DD && var19 == CPE && var47 == LVAVEL ) || ( var1 == K25 && var2 == E3 && var6 == DD && var19 == SSCPE && var47 == LVAVMA ) || ( var1 == K25 && var2 == E3 && var6 == DD && var19 == SSCPE && var47 == LVAVEL ) || ( var1 == V25 && var2 == E2 && var6 == DG && var19 == CPE && var47 == LVAVMA ) || ( var1 == V25 && var2 == E2 && var6 == DG && var19 == CPE && var47 == LVAVEL ) || ( var1 == V25 && var2 == E2 && var6 == DG && var19 == SSCPE && var47 == LVAVMA ) || ( var1 == V25 && var2 == E2 && var6 == DG && var19 == SSCPE && var47 == LVAVEL ) ) ); ( ( ( var1 == S64 && var2 == E1 && var13 == CHAUFO && var16 == VC ) || ( var1 == S64 && var2 == E1 && var13 == CHAUFO && var16 == VT ) || ( var1 == S64 && var2 == E1 && var13 == CA && var16 == VT ) || ( var1 == S64 && var2 == E2 && var13 == CHAUFO && var16 == VC ) || ( var1 == S64 && var2 == E2 && var13 == CHAUFO && var16 == VT ) || ( var1 == S64 && var2 == E2 && var13 == CA && var16 == VT ) || ( var1 == L64 && var2 == E1 && var13 == CHAUFO && var16 == VC ) || ( var1 == L64 && var2 == E1 && var13 == CHAUFO && var16 == VT ) || ( var1 == L64 && var2 == E1 && var13 == CA && var16 == VT ) || ( var1 == L64 && var2 == E2 && var13 == CHAUFO && var16 == VC ) || ( var1 == L64 && var2 == E2 && var13 == CHAUFO && var16 == VT ) || ( var1 == L64 && var2 == E2 && var13 == CA && var16 == VT ) || ( var1 == L64 && var2 == E3 && var13 == CHAUFO && var16 == VC ) || ( var1 == L64 && var2 == E3 && var13 == CHAUFO && var16 == VT ) || ( var1 == L64 && var2 == E3 && var13 == CA && var16 == VT ) || ( var1 == E64 && var2 == E0 && var13 == CHAUFO && var16 == VT ) || ( var1 == E64 && var2 == E0 && var13 == CA && var16 == VT ) || ( var1 == E64 && var2 == E1 && var13 == CHAUFO && var16 == VT ) || ( var1 == E64 && var2 == E1 && var13 == CA && var16 == VT ) || ( var1 == E64 && var2 == E2 && var13 == CHAUFO && var16 == VT ) || ( var1 == E64 && var2 == E2 && var13 == CA && var16 == VT ) || ( var1 == E64 && var2 == E3 && var13 == CHAUFO && var16 == VT ) || ( var1 == E64 && var2 == E3 && var13 == CA && var16 == VT ) || ( var1 == E64 && var2 == E5 && var13 == CHAUFO && var16 == VT ) || ( var1 == E64 && var2 == E5 && var13 == CA && var16 == VT ) || ( var1 == D64 && var2 == E2 && var13 == CHAUFO && var16 == VT ) || ( var1 == D64 && var2 == E2 && var13 == CA && var16 == VT ) || ( var1 == D64 && var2 == E3 && var13 == CHAUFO && var16 == VT ) || ( var1 == D64 && var2 == E3 && var13 == CA && var16 == VT ) || ( var1 == J64 && var2 == E2 && var13 == CHAUFO && var16 == VC ) || ( var1 == J64 && var2 == E2 && var13 == CHAUFO && var16 == VT ) || ( var1 == J64 && var2 == E2 && var13 == CA && var16 == VT ) || ( var1 == J64 && var2 == E3 && var13 == CHAUFO && var16 == VC ) || ( var1 == J64 && var2 == E3 && var13 == CHAUFO && var16 == VT ) || ( var1 == J64 && var2 == E3 && var13 == CA && var16 == VT ) || ( var1 == B64 && var2 == E1 && var13 == CHAUFO && var16 == VC ) || ( var1 == B64 && var2 == E1 && var13 == CHAUFO && var16 == VT ) || ( var1 == B64 && var2 == E1 && var13 == CA && var16 == VT ) || ( var1 == B64 && var2 == E2 && var13 == CHAUFO && var16 == VC ) || ( var1 == B64 && var2 == E2 && var13 == CHAUFO && var16 == VT ) || ( var1 == B64 && var2 == E2 && var13 == CA && var16 == VT ) || ( var1 == B64 && var2 == E3 && var13 == CHAUFO && var16 == VC ) || ( var1 == B64 && var2 == E3 && var13 == CHAUFO && var16 == VT ) || ( var1 == B64 && var2 == E3 && var13 == CA && var16 == VT ) || ( var1 == B64 && var2 == E5 && var13 == CHAUFO && var16 == VC ) || ( var1 == B64 && var2 == E5 && var13 == CHAUFO && var16 == VT ) || ( var1 == B64 && var2 == E5 && var13 == CA && var16 == VT ) || ( var1 == F64 && var2 == E2 && var13 == CHAUFO && var16 == VC ) || ( var1 == F64 && var2 == E2 && var13 == CHAUFO && var16 == VT ) || ( var1 == F64 && var2 == E2 && var13 == CA && var16 == VT ) || ( var1 == K25 && var2 == E0 && var13 == CHAUFO && var16 == VC ) || ( var1 == K25 && var2 == E0 && var13 == CA && var16 == VT ) || ( var1 == K25 && var2 == E1 && var13 == CHAUFO && var16 == VC ) || ( var1 == K25 && var2 == E1 && var13 == CHAUFO && var16 == VT ) || ( var1 == K25 && var2 == E1 && var13 == CA && var16 == VT ) || ( var1 == K25 && var2 == E3 && var13 == CHAUFO && var16 == VC ) || ( var1 == K25 && var2 == E3 && var13 == CHAUFO && var16 == VT ) || ( var1 == K25 && var2 == E3 && var13 == CA && var16 == VT ) || ( var1 == V25 && var2 == E2 && var13 == CHAUFO && var16 == VC ) || ( var1 == V25 && var2 == E2 && var13 == CHAUFO && var16 == VT ) || ( var1 == V25 && var2 == E2 && var13 == CA && var16 == VT ) ) ); ( ( ( var1 == S64 && var2 == E1 && var6 == DG && var21 == RETROR && var47 == LVAVMA ) || ( var1 == S64 && var2 == E1 && var6 == DG && var21 == RETROR && var47 == LVAVEL ) || ( var1 == S64 && var2 == E1 && var6 == DG && var21 == RETROE && var47 == LVAVMA ) || ( var1 == S64 && var2 == E1 && var6 == DG && var21 == RETROE && var47 == LVAVEL ) || ( var1 == S64 && var2 == E1 && var6 == DD && var21 == RETROR && var47 == LVAVMA ) || ( var1 == S64 && var2 == E1 && var6 == DD && var21 == RETROR && var47 == LVAVEL ) || ( var1 == S64 && var2 == E1 && var6 == DD && var21 == RETROE && var47 == LVAVMA ) || ( var1 == S64 && var2 == E1 && var6 == DD && var21 == RETROE && var47 == LVAVEL ) || ( var1 == S64 && var2 == E2 && var6 == DG && var21 == RETROR && var47 == LVAVMA ) || ( var1 == S64 && var2 == E2 && var6 == DG && var21 == RETROR && var47 == LVAVEL ) || ( var1 == S64 && var2 == E2 && var6 == DG && var21 == RETROE && var47 == LVAVEL ) || ( var1 == S64 && var2 == E2 && var6 == DD && var21 == RETROR && var47 == LVAVMA ) || ( var1 == S64 && var2 == E2 && var6 == DD && var21 == RETROE && var47 == LVAVEL ) || ( var1 == L64 && var2 == E1 && var6 == DG && var21 == RETROR && var47 == LVAVMA ) || ( var1 == L64 && var2 == E1 && var6 == DG && var21 == RETROR && var47 == LVAVEL ) || ( var1 == L64 && var2 == E1 && var6 == DG && var21 == RETROE && var47 == LVAVMA ) || ( var1 == L64 && var2 == E1 && var6 == DG && var21 == RETROE && var47 == LVAVEL ) || ( var1 == L64 && var2 == E1 && var6 == DD && var21 == RETROR && var47 == LVAVMA ) || ( var1 == L64 && var2 == E1 && var6 == DD && var21 == RETROR && var47 == LVAVEL ) || ( var1 == L64 && var2 == E1 && var6 == DD && var21 == RETROE && var47 == LVAVMA ) || ( var1 == L64 && var2 == E1 && var6 == DD && var21 == RETROE && var47 == LVAVEL ) || ( var1 == L64 && var2 == E2 && var6 == DG && var21 == RETROR && var47 == LVAVMA ) || ( var1 == L64 && var2 == E2 && var6 == DG && var21 == RETROR && var47 == LVAVEL ) || ( var1 == L64 && var2 == E2 && var6 == DG && var21 == RETROE && var47 == LVAVEL ) || ( var1 == L64 && var2 == E2 && var6 == DD && var21 == RETROR && var47 == LVAVMA ) || ( var1 == L64 && var2 == E2 && var6 == DD && var21 == RETROE && var47 == LVAVEL ) || ( var1 == L64 && var2 == E3 && var6 == DG && var21 == RETROR && var47 == LVAVMA ) || ( var1 == L64 && var2 == E3 && var6 == DG && var21 == RETROR && var47 == LVAVEL ) || ( var1 == L64 && var2 == E3 && var6 == DG && var21 == RETROE && var47 == LVAVMA ) || ( var1 == L64 && var2 == E3 && var6 == DG && var21 == RETROE && var47 == LVAVEL ) || ( var1 == L64 && var2 == E3 && var6 == DD && var21 == RETROR && var47 == LVAVMA ) || ( var1 == L64 && var2 == E3 && var6 == DD && var21 == RETROR && var47 == LVAVEL ) || ( var1 == L64 && var2 == E3 && var6 == DD && var21 == RETROE && var47 == LVAVMA ) || ( var1 == L64 && var2 == E3 && var6 == DD && var21 == RETROE && var47 == LVAVEL ) || ( var1 == E64 && var2 == E0 && var6 == DG && var21 == RETROR && var47 == LVAVMA ) || ( var1 == E64 && var2 == E0 && var6 == DG && var21 == RETROR && var47 == LVAVEL ) || ( var1 == E64 && var2 == E0 && var6 == DG && var21 == RETROE && var47 == LVAVMA ) || ( var1 == E64 && var2 == E0 && var6 == DG && var21 == RETROE && var47 == LVAVEL ) || ( var1 == E64 && var2 == E0 && var6 == DD && var21 == RETROR && var47 == LVAVMA ) || ( var1 == E64 && var2 == E0 && var6 == DD && var21 == RETROR && var47 == LVAVEL ) || ( var1 == E64 && var2 == E0 && var6 == DD && var21 == RETROE && var47 == LVAVMA ) || ( var1 == E64 && var2 == E0 && var6 == DD && var21 == RETROE && var47 == LVAVEL ) || ( var1 == E64 && var2 == E1 && var6 == DG && var21 == RETROR && var47 == LVAVMA ) || ( var1 == E64 && var2 == E1 && var6 == DG && var21 == RETROR && var47 == LVAVEL ) || ( var1 == E64 && var2 == E1 && var6 == DG && var21 == RETROE && var47 == LVAVMA ) || ( var1 == E64 && var2 == E1 && var6 == DG && var21 == RETROE && var47 == LVAVEL ) || ( var1 == E64 && var2 == E1 && var6 == DD && var21 == RETROR && var47 == LVAVMA ) || ( var1 == E64 && var2 == E1 && var6 == DD && var21 == RETROR && var47 == LVAVEL ) || ( var1 == E64 && var2 == E1 && var6 == DD && var21 == RETROE && var47 == LVAVMA ) || ( var1 == E64 && var2 == E1 && var6 == DD && var21 == RETROE && var47 == LVAVEL ) || ( var1 == E64 && var2 == E2 && var6 == DG && var21 == RETROR && var47 == LVAVMA ) || ( var1 == E64 && var2 == E2 && var6 == DG && var21 == RETROR && var47 == LVAVEL ) || ( var1 == E64 && var2 == E2 && var6 == DG && var21 == RETROE && var47 == LVAVMA ) || ( var1 == E64 && var2 == E2 && var6 == DG && var21 == RETROE && var47 == LVAVEL ) || ( var1 == E64 && var2 == E2 && var6 == DD && var21 == RETROR && var47 == LVAVMA ) || ( var1 == E64 && var2 == E2 && var6 == DD && var21 == RETROR && var47 == LVAVEL ) || ( var1 == E64 && var2 == E2 && var6 == DD && var21 == RETROE && var47 == LVAVMA ) || ( var1 == E64 && var2 == E2 && var6 == DD && var21 == RETROE && var47 == LVAVEL ) || ( var1 == E64 && var2 == E3 && var6 == DG && var21 == RETROR && var47 == LVAVMA ) || ( var1 == E64 && var2 == E3 && var6 == DG && var21 == RETROR && var47 == LVAVEL ) || ( var1 == E64 && var2 == E3 && var6 == DG && var21 == RETROE && var47 == LVAVMA ) || ( var1 == E64 && var2 == E3 && var6 == DG && var21 == RETROE && var47 == LVAVEL ) || ( var1 == E64 && var2 == E3 && var6 == DD && var21 == RETROR && var47 == LVAVMA ) || ( var1 == E64 && var2 == E3 && var6 == DD && var21 == RETROR && var47 == LVAVEL ) || ( var1 == E64 && var2 == E3 && var6 == DD && var21 == RETROE && var47 == LVAVMA ) || ( var1 == E64 && var2 == E3 && var6 == DD && var21 == RETROE && var47 == LVAVEL ) || ( var1 == E64 && var2 == E5 && var6 == DG && var21 == RETROR && var47 == LVAVMA ) || ( var1 == E64 && var2 == E5 && var6 == DG && var21 == RETROR && var47 == LVAVEL ) || ( var1 == E64 && var2 == E5 && var6 == DG && var21 == RETROE && var47 == LVAVMA ) || ( var1 == E64 && var2 == E5 && var6 == DG && var21 == RETROE && var47 == LVAVEL ) || ( var1 == E64 && var2 == E5 && var6 == DD && var21 == RETROR && var47 == LVAVMA ) || ( var1 == E64 && var2 == E5 && var6 == DD && var21 == RETROR && var47 == LVAVEL ) || ( var1 == E64 && var2 == E5 && var6 == DD && var21 == RETROE && var47 == LVAVMA ) || ( var1 == E64 && var2 == E5 && var6 == DD && var21 == RETROE && var47 == LVAVEL ) || ( var1 == D64 && var2 == E2 && var6 == DG && var21 == RETROR && var47 == LVAVMA ) || ( var1 == D64 && var2 == E2 && var6 == DG && var21 == RETROR && var47 == LVAVEL ) || ( var1 == D64 && var2 == E2 && var6 == DG && var21 == RETROE && var47 == LVAVEL ) || ( var1 == D64 && var2 == E2 && var6 == DD && var21 == RETROR && var47 == LVAVMA ) || ( var1 == D64 && var2 == E2 && var6 == DD && var21 == RETROE && var47 == LVAVEL ) || ( var1 == D64 && var2 == E3 && var6 == DG && var21 == RETROR && var47 == LVAVMA ) || ( var1 == D64 && var2 == E3 && var6 == DG && var21 == RETROR && var47 == LVAVEL ) || ( var1 == D64 && var2 == E3 && var6 == DG && var21 == RETROE && var47 == LVAVMA ) || ( var1 == D64 && var2 == E3 && var6 == DG && var21 == RETROE && var47 == LVAVEL ) || ( var1 == D64 && var2 == E3 && var6 == DD && var21 == RETROR && var47 == LVAVMA ) || ( var1 == D64 && var2 == E3 && var6 == DD && var21 == RETROR && var47 == LVAVEL ) || ( var1 == D64 && var2 == E3 && var6 == DD && var21 == RETROE && var47 == LVAVMA ) || ( var1 == D64 && var2 == E3 && var6 == DD && var21 == RETROE && var47 == LVAVEL ) || ( var1 == J64 && var2 == E2 && var6 == DG && var21 == RETROR && var47 == LVAVMA ) || ( var1 == J64 && var2 == E2 && var6 == DG && var21 == RETROR && var47 == LVAVEL ) || ( var1 == J64 && var2 == E2 && var6 == DG && var21 == RETROE && var47 == LVAVEL ) || ( var1 == J64 && var2 == E2 && var6 == DD && var21 == RETROR && var47 == LVAVMA ) || ( var1 == J64 && var2 == E2 && var6 == DD && var21 == RETROE && var47 == LVAVEL ) || ( var1 == J64 && var2 == E3 && var6 == DG && var21 == RETROR && var47 == LVAVMA ) || ( var1 == J64 && var2 == E3 && var6 == DG && var21 == RETROR && var47 == LVAVEL ) || ( var1 == J64 && var2 == E3 && var6 == DG && var21 == RETROE && var47 == LVAVMA ) || ( var1 == J64 && var2 == E3 && var6 == DG && var21 == RETROE && var47 == LVAVEL ) || ( var1 == J64 && var2 == E3 && var6 == DD && var21 == RETROR && var47 == LVAVMA ) || ( var1 == J64 && var2 == E3 && var6 == DD && var21 == RETROR && var47 == LVAVEL ) || ( var1 == J64 && var2 == E3 && var6 == DD && var21 == RETROE && var47 == LVAVMA ) || ( var1 == J64 && var2 == E3 && var6 == DD && var21 == RETROE && var47 == LVAVEL ) || ( var1 == B64 && var2 == E1 && var6 == DG && var21 == RETROR && var47 == LVAVMA ) || ( var1 == B64 && var2 == E1 && var6 == DG && var21 == RETROR && var47 == LVAVEL ) || ( var1 == B64 && var2 == E1 && var6 == DG && var21 == RETROE && var47 == LVAVMA ) || ( var1 == B64 && var2 == E1 && var6 == DG && var21 == RETROE && var47 == LVAVEL ) || ( var1 == B64 && var2 == E1 && var6 == DD && var21 == RETROR && var47 == LVAVMA ) || ( var1 == B64 && var2 == E1 && var6 == DD && var21 == RETROR && var47 == LVAVEL ) || ( var1 == B64 && var2 == E1 && var6 == DD && var21 == RETROE && var47 == LVAVMA ) || ( var1 == B64 && var2 == E1 && var6 == DD && var21 == RETROE && var47 == LVAVEL ) || ( var1 == B64 && var2 == E2 && var6 == DG && var21 == RETROR && var47 == LVAVMA ) || ( var1 == B64 && var2 == E2 && var6 == DG && var21 == RETROR && var47 == LVAVEL ) || ( var1 == B64 && var2 == E2 && var6 == DG && var21 == RETROE && var47 == LVAVEL ) || ( var1 == B64 && var2 == E2 && var6 == DD && var21 == RETROR && var47 == LVAVMA ) || ( var1 == B64 && var2 == E2 && var6 == DD && var21 == RETROE && var47 == LVAVEL ) || ( var1 == B64 && var2 == E3 && var6 == DG && var21 == RETROR && var47 == LVAVMA ) || ( var1 == B64 && var2 == E3 && var6 == DG && var21 == RETROR && var47 == LVAVEL ) || ( var1 == B64 && var2 == E3 && var6 == DG && var21 == RETROE && var47 == LVAVMA ) || ( var1 == B64 && var2 == E3 && var6 == DG && var21 == RETROE && var47 == LVAVEL ) || ( var1 == B64 && var2 == E3 && var6 == DD && var21 == RETROR && var47 == LVAVMA ) || ( var1 == B64 && var2 == E3 && var6 == DD && var21 == RETROR && var47 == LVAVEL ) || ( var1 == B64 && var2 == E3 && var6 == DD && var21 == RETROE && var47 == LVAVMA ) || ( var1 == B64 && var2 == E3 && var6 == DD && var21 == RETROE && var47 == LVAVEL ) || ( var1 == B64 && var2 == E5 && var6 == DG && var21 == RETROR && var47 == LVAVMA ) || ( var1 == B64 && var2 == E5 && var6 == DG && var21 == RETROR && var47 == LVAVEL ) || ( var1 == B64 && var2 == E5 && var6 == DG && var21 == RETROE && var47 == LVAVMA ) || ( var1 == B64 && var2 == E5 && var6 == DG && var21 == RETROE && var47 == LVAVEL ) || ( var1 == B64 && var2 == E5 && var6 == DD && var21 == RETROR && var47 == LVAVMA ) || ( var1 == B64 && var2 == E5 && var6 == DD && var21 == RETROR && var47 == LVAVEL ) || ( var1 == B64 && var2 == E5 && var6 == DD && var21 == RETROE && var47 == LVAVMA ) || ( var1 == B64 && var2 == E5 && var6 == DD && var21 == RETROE && var47 == LVAVEL ) || ( var1 == F64 && var2 == E2 && var6 == DG && var21 == RETROR && var47 == LVAVMA ) || ( var1 == F64 && var2 == E2 && var6 == DG && var21 == RETROR && var47 == LVAVEL ) || ( var1 == F64 && var2 == E2 && var6 == DG && var21 == RETROE && var47 == LVAVEL ) || ( var1 == K25 && var2 == E0 && var6 == DG && var21 == RETROR && var47 == LVAVMA ) || ( var1 == K25 && var2 == E0 && var6 == DG && var21 == RETROR && var47 == LVAVEL ) || ( var1 == K25 && var2 == E0 && var6 == DD && var21 == RETROR && var47 == LVAVMA ) || ( var1 == K25 && var2 == E0 && var6 == DD && var21 == RETROR && var47 == LVAVEL ) || ( var1 == K25 && var2 == E1 && var6 == DG && var21 == RETROR && var47 == LVAVMA ) || ( var1 == K25 && var2 == E1 && var6 == DG && var21 == RETROR && var47 == LVAVEL ) || ( var1 == K25 && var2 == E1 && var6 == DD && var21 == RETROR && var47 == LVAVMA ) || ( var1 == K25 && var2 == E1 && var6 == DD && var21 == RETROR && var47 == LVAVEL ) || ( var1 == K25 && var2 == E3 && var6 == DG && var21 == RETROR && var47 == LVAVMA ) || ( var1 == K25 && var2 == E3 && var6 == DG && var21 == RETROR && var47 == LVAVEL ) || ( var1 == K25 && var2 == E3 && var6 == DD && var21 == RETROR && var47 == LVAVMA ) || ( var1 == K25 && var2 == E3 && var6 == DD && var21 == RETROR && var47 == LVAVEL ) || ( var1 == V25 && var2 == E2 && var6 == DG && var21 == RETROR && var47 == LVAVMA ) || ( var1 == V25 && var2 == E2 && var6 == DG && var21 == RETROR && var47 == LVAVEL ) ) ); ( ( ( var5 == FRAN && var11 == SSABS && var45 == SUSREN ) || ( var5 == FRAN && var11 == SSABS && var45 == SUSNOR ) || ( var5 == FRAN && var11 == ABS && var45 == SUSREN ) || ( var5 == FRAN && var11 == ABS && var45 == SUSNOR ) || ( var5 == DOTO && var11 == SSABS && var45 == SUSREN ) || ( var5 == DOTO && var11 == SSABS && var45 == SUSNOR ) || ( var5 == DOTO && var11 == ABS && var45 == SUSREN ) || ( var5 == DOTO && var11 == ABS && var45 == SUSNOR ) || ( var5 == ALLE && var11 == SSABS && var45 == SUSREN ) || ( var5 == ALLE && var11 == SSABS && var45 == SUSNOR ) || ( var5 == ALLE && var11 == ABS && var45 == SUSREN ) || ( var5 == ALLE && var11 == ABS && var45 == SUSNOR ) || ( var5 == AUTR && var11 == SSABS && var45 == SUSREN ) || ( var5 == AUTR && var11 == SSABS && var45 == SUSNOR ) || ( var5 == AUTR && var11 == ABS && var45 == SUSREN ) || ( var5 == AUTR && var11 == ABS && var45 == SUSNOR ) || ( var5 == BELG && var11 == SSABS && var45 == SUSREN ) || ( var5 == BELG && var11 == SSABS && var45 == SUSNOR ) || ( var5 == BELG && var11 == ABS && var45 == SUSREN ) || ( var5 == BELG && var11 == ABS && var45 == SUSNOR ) || ( var5 == DANE && var11 == SSABS && var45 == SUSREN ) || ( var5 == DANE && var11 == SSABS && var45 == SUSNOR ) || ( var5 == DANE && var11 == ABS && var45 == SUSREN ) || ( var5 == DANE && var11 == ABS && var45 == SUSNOR ) || ( var5 == ESPA && var11 == SSABS && var45 == SUSREN ) || ( var5 == ESPA && var11 == SSABS && var45 == SUSNOR ) || ( var5 == ESPA && var11 == ABS && var45 == SUSREN ) || ( var5 == ESPA && var11 == ABS && var45 == SUSNOR ) || ( var5 == FINL && var11 == SSABS && var45 == SUSREN ) || ( var5 == FINL && var11 == SSABS && var45 == SUSNOR ) || ( var5 == FINL && var11 == ABS && var45 == SUSREN ) || ( var5 == FINL && var11 == ABS && var45 == SUSNOR ) || ( var5 == GRBR && var11 == SSABS && var45 == SUSREN ) || ( var5 == GRBR && var11 == SSABS && var45 == SUSNOR ) || ( var5 == GRBR && var11 == ABS && var45 == SUSREN ) || ( var5 == GRBR && var11 == ABS && var45 == SUSNOR ) || ( var5 == GREC && var11 == SSABS && var45 == SUSREN ) || ( var5 == GREC && var11 == SSABS && var45 == SUSNOR ) || ( var5 == GREC && var11 == ABS && var45 == SUSREN ) || ( var5 == GREC && var11 == ABS && var45 == SUSNOR ) || ( var5 == HOLL && var11 == SSABS && var45 == SUSREN ) || ( var5 == HOLL && var11 == SSABS && var45 == SUSNOR ) || ( var5 == HOLL && var11 == ABS && var45 == SUSREN ) || ( var5 == HOLL && var11 == ABS && var45 == SUSNOR ) || ( var5 == IRLA && var11 == SSABS && var45 == SUSREN ) || ( var5 == IRLA && var11 == SSABS && var45 == SUSNOR ) || ( var5 == IRLA && var11 == ABS && var45 == SUSREN ) || ( var5 == IRLA && var11 == ABS && var45 == SUSNOR ) || ( var5 == ISLA && var11 == SSABS && var45 == SUSREN ) || ( var5 == ISLA && var11 == SSABS && var45 == SUSNOR ) || ( var5 == ISLA && var11 == ABS && var45 == SUSREN ) || ( var5 == ISLA && var11 == ABS && var45 == SUSNOR ) || ( var5 == ITAL && var11 == SSABS && var45 == SUSREN ) || ( var5 == ITAL && var11 == SSABS && var45 == SUSNOR ) || ( var5 == ITAL && var11 == ABS && var45 == SUSREN ) || ( var5 == ITAL && var11 == ABS && var45 == SUSNOR ) || ( var5 == NORV && var11 == SSABS && var45 == SUSREN ) || ( var5 == NORV && var11 == SSABS && var45 == SUSNOR ) || ( var5 == NORV && var11 == ABS && var45 == SUSREN ) || ( var5 == NORV && var11 == ABS && var45 == SUSNOR ) || ( var5 == PORT && var11 == SSABS && var45 == SUSREN ) || ( var5 == PORT && var11 == SSABS && var45 == SUSNOR ) || ( var5 == PORT && var11 == ABS && var45 == SUSREN ) || ( var5 == PORT && var11 == ABS && var45 == SUSNOR ) || ( var5 == SUED && var11 == SSABS && var45 == SUSREN ) || ( var5 == SUED && var11 == SSABS && var45 == SUSNOR ) || ( var5 == SUED && var11 == ABS && var45 == SUSREN ) || ( var5 == SUED && var11 == ABS && var45 == SUSNOR ) || ( var5 == SUIS && var11 == SSABS && var45 == SUSREN ) || ( var5 == SUIS && var11 == SSABS && var45 == SUSNOR ) || ( var5 == SUIS && var11 == ABS && var45 == SUSREN ) || ( var5 == SUIS && var11 == ABS && var45 == SUSNOR ) || ( var5 == YOUG && var11 == SSABS && var45 == SUSREN ) || ( var5 == YOUG && var11 == SSABS && var45 == SUSNOR ) || ( var5 == YOUG && var11 == ABS && var45 == SUSREN ) || ( var5 == YOUG && var11 == ABS && var45 == SUSNOR ) || ( var5 == MAGH && var11 == SSABS && var45 == SUSREN ) || ( var5 == MAGH && var11 == SSABS && var45 == SUSNOR ) || ( var5 == MAGH && var11 == ABS && var45 == SUSNOR ) || ( var5 == TURQ && var11 == SSABS && var45 == SUSREN ) || ( var5 == TURQ && var11 == SSABS && var45 == SUSNOR ) || ( var5 == TURQ && var11 == ABS && var45 == SUSREN ) || ( var5 == TURQ && var11 == ABS && var45 == SUSNOR ) || ( var5 == ARGE && var11 == SSABS && var45 == SUSREN ) || ( var5 == ARGE && var11 == SSABS && var45 == SUSNOR ) || ( var5 == ARGE && var11 == ABS && var45 == SUSREN ) || ( var5 == ARGE && var11 == ABS && var45 == SUSNOR ) || ( var5 == BRES && var11 == SSABS && var45 == SUSREN ) || ( var5 == BRES && var11 == SSABS && var45 == SUSNOR ) || ( var5 == BRES && var11 == ABS && var45 == SUSREN ) || ( var5 == BRES && var11 == ABS && var45 == SUSNOR ) || ( var5 == CHIL && var11 == SSABS && var45 == SUSREN ) || ( var5 == CHIL && var11 == SSABS && var45 == SUSNOR ) || ( var5 == CHIL && var11 == ABS && var45 == SUSREN ) || ( var5 == CHIL && var11 == ABS && var45 == SUSNOR ) || ( var5 == COLO && var11 == SSABS && var45 == SUSREN ) || ( var5 == COLO && var11 == SSABS && var45 == SUSNOR ) || ( var5 == COLO && var11 == ABS && var45 == SUSREN ) || ( var5 == COLO && var11 == ABS && var45 == SUSNOR ) || ( var5 == AFSU && var11 == SSABS && var45 == SUSREN ) || ( var5 == AFSU && var11 == SSABS && var45 == SUSNOR ) || ( var5 == AFSU && var11 == ABS && var45 == SUSREN ) || ( var5 == AFSU && var11 == ABS && var45 == SUSNOR ) || ( var5 == MARO && var11 == SSABS && var45 == SUSREN ) || ( var5 == MARO && var11 == SSABS && var45 == SUSNOR ) || ( var5 == MARO && var11 == ABS && var45 == SUSNOR ) || ( var5 == ISRA && var11 == SSABS && var45 == SUSREN ) || ( var5 == ISRA && var11 == SSABS && var45 == SUSNOR ) || ( var5 == ISRA && var11 == ABS && var45 == SUSREN ) || ( var5 == ISRA && var11 == ABS && var45 == SUSNOR ) || ( var5 == HONG && var11 == SSABS && var45 == SUSREN ) || ( var5 == HONG && var11 == SSABS && var45 == SUSNOR ) || ( var5 == HONG && var11 == ABS && var45 == SUSREN ) || ( var5 == HONG && var11 == ABS && var45 == SUSNOR ) || ( var5 == TCHE && var11 == SSABS && var45 == SUSREN ) || ( var5 == TCHE && var11 == SSABS && var45 == SUSNOR ) || ( var5 == TCHE && var11 == ABS && var45 == SUSREN ) || ( var5 == TCHE && var11 == ABS && var45 == SUSNOR ) || ( var5 == POLO && var11 == SSABS && var45 == SUSREN ) || ( var5 == POLO && var11 == SSABS && var45 == SUSNOR ) || ( var5 == POLO && var11 == ABS && var45 == SUSREN ) || ( var5 == POLO && var11 == ABS && var45 == SUSNOR ) || ( var5 == SLVQ && var11 == SSABS && var45 == SUSREN ) || ( var5 == SLVQ && var11 == SSABS && var45 == SUSNOR ) || ( var5 == SLVQ && var11 == ABS && var45 == SUSREN ) || ( var5 == SLVQ && var11 == ABS && var45 == SUSNOR ) || ( var5 == JAPO && var11 == SSABS && var45 == SUSREN ) || ( var5 == JAPO && var11 == SSABS && var45 == SUSNOR ) || ( var5 == JAPO && var11 == ABS && var45 == SUSREN ) || ( var5 == JAPO && var11 == ABS && var45 == SUSNOR ) || ( var5 == TAIW && var11 == SSABS && var45 == SUSREN ) || ( var5 == TAIW && var11 == SSABS && var45 == SUSNOR ) || ( var5 == TAIW && var11 == ABS && var45 == SUSREN ) || ( var5 == TAIW && var11 == ABS && var45 == SUSNOR ) || ( var5 == AUST && var11 == SSABS && var45 == SUSREN ) || ( var5 == AUST && var11 == SSABS && var45 == SUSNOR ) || ( var5 == AUST && var11 == ABS && var45 == SUSREN ) || ( var5 == AUST && var11 == ABS && var45 == SUSNOR ) || ( var5 == URUG && var11 == SSABS && var45 == SUSREN ) || ( var5 == URUG && var11 == SSABS && var45 == SUSNOR ) || ( var5 == URUG && var11 == ABS && var45 == SUSREN ) || ( var5 == URUG && var11 == ABS && var45 == SUSNOR ) || ( var5 == DAIB && var11 == SSABS && var45 == SUSREN ) || ( var5 == DAIB && var11 == SSABS && var45 == SUSNOR ) || ( var5 == DAIB && var11 == ABS && var45 == SUSNOR ) || ( var5 == DAIC && var11 == SSABS && var45 == SUSREN ) || ( var5 == DAIC && var11 == SSABS && var45 == SUSNOR ) || ( var5 == DAIC && var11 == ABS && var45 == SUSREN ) || ( var5 == DAIC && var11 == ABS && var45 == SUSNOR ) || ( var5 == DAID && var11 == SSABS && var45 == SUSREN ) || ( var5 == DAID && var11 == SSABS && var45 == SUSNOR ) || ( var5 == DAID && var11 == ABS && var45 == SUSREN ) || ( var5 == DAID && var11 == ABS && var45 == SUSNOR ) || ( var5 == DAIF && var11 == SSABS && var45 == SUSREN ) || ( var5 == DAIF && var11 == SSABS && var45 == SUSNOR ) || ( var5 == DAIF && var11 == ABS && var45 == SUSREN ) || ( var5 == DAIF && var11 == ABS && var45 == SUSNOR ) || ( var5 == EUOR && var11 == SSABS && var45 == SUSREN ) || ( var5 == EUOR && var11 == SSABS && var45 == SUSNOR ) || ( var5 == EUOR && var11 == ABS && var45 == SUSREN ) || ( var5 == EUOR && var11 == ABS && var45 == SUSNOR ) || ( var5 == CETI && var11 == SSABS && var45 == SUSREN ) || ( var5 == CETI && var11 == SSABS && var45 == SUSNOR ) || ( var5 == CETI && var11 == ABS && var45 == SUSNOR ) ) ); ( ( ( var1 == S64 && var2 == E1 && var3 == MA && var4 == DIESEL && var6 == DG && var7 == TEMP && var89 == EVA && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MA && var4 == DIESEL && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var4 == DIESEL && var6 == DG && var7 == TEMP && var89 == EVA && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var4 == DIESEL && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var4 == DIESEL && var6 == DG && var7 == TEMP && var89 == EVA && var91 == Autre613 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E1 && var3 == MU && var4 == DIESEL && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var4 == DIESEL && var6 == DG && var7 == TEMP && var89 == EVA && var91 == Autre613 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var4 == DIESEL && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var4 == DIESEL && var6 == DD && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var4 == DIESEL && var6 == DG && var7 == TEMP && var89 == EVA && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var4 == DIESEL && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MA && var4 == DIESEL && var6 == DD && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var4 == DIESEL && var6 == DG && var7 == TEMP && var89 == EVA && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var4 == DIESEL && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var4 == DIESEL && var6 == DD && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var4 == DIESEL && var6 == DG && var7 == TEMP && var89 == EVA && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MK && var4 == DIESEL && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var4 == DIESEL && var6 == DG && var7 == TEMP && var89 == EVA && var91 == Autre613 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var4 == DIESEL && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MU && var4 == DIESEL && var6 == DD && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU93 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var4 == DIESEL && var6 == DG && var7 == TEMP && var89 == EVA && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == S64 && var2 == E2 && var3 == MY && var4 == DIESEL && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var4 == DIESEL && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var4 == DIESEL && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MA && var4 == DIESEL && var6 == DD && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MD && var4 == ESS && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ME && var4 == ESS && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MF && var4 == ESS && var6 == DD && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var4 == DIESEL && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var4 == DIESEL && var6 == DD && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ML && var4 == ESS && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MM && var4 == ESS && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == MU && var4 == DIESEL && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var4 == DIESEL && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var4 == DIESEL && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var4 == DIESEL && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var4 == ESS && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var4 == DIESEL && var6 == DG && var7 == TEMP && var89 == EVA && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var4 == DIESEL && var6 == DG && var7 == TEMP && var89 == EVE && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var4 == DIESEL && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var4 == DIESEL && var6 == DG && var7 == TEMP && var89 == EVA && var91 == TKO && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var4 == DIESEL && var6 == DG && var7 == TEMP && var89 == EVA && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var4 == DIESEL && var6 == DG && var7 == TEMP && var89 == EVE && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var4 == DIESEL && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == TKO && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var4 == DIESEL && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var4 == DIESEL && var6 == DG && var7 == GDFROI && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MA && var4 == DIESEL && var6 == DD && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var4 == ESS && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MB && var4 == ESS && var6 == DD && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MD && var4 == ESS && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ME && var4 == ESS && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var4 == ESS && var6 == DG && var7 == TEMP && var89 == EVA && var91 == TKO && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var4 == ESS && var6 == DG && var7 == TEMP && var89 == EVA && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var4 == ESS && var6 == DG && var7 == TEMP && var89 == EVE && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var4 == ESS && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == TKO && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var4 == ESS && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var4 == ESS && var6 == DG && var7 == GDFROI && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MF && var4 == ESS && var6 == DD && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var4 == DIESEL && var6 == DG && var7 == TEMP && var89 == EVA && var91 == TKO && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var4 == DIESEL && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == TKO && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var4 == DIESEL && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var4 == DIESEL && var6 == DG && var7 == GDFROI && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var4 == DIESEL && var6 == DD && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var4 == DIESEL && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == TKO && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MK && var4 == DIESEL && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ML && var4 == ESS && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MM && var4 == ESS && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MS && var4 == ESS && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var4 == DIESEL && var6 == DG && var7 == TEMP && var89 == EVA && var91 == TKO && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var4 == DIESEL && var6 == DG && var7 == TEMP && var89 == EVA && var91 == Autre613 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var4 == DIESEL && var6 == DG && var7 == TEMP && var89 == EVE && var91 == Autre613 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var4 == DIESEL && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == TKO && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MU && var4 == DIESEL && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU93 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var4 == DIESEL && var6 == DG && var7 == TEMP && var89 == EVA && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var4 == DIESEL && var6 == DG && var7 == TEMP && var89 == EVE && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MN && var4 == DIESEL && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var4 == ESS && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MG && var4 == ESS && var6 == DG && var7 == GDFROI && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var4 == DIESEL && var6 == DG && var7 == TEMP && var89 == EVA && var91 == TKO && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var4 == DIESEL && var6 == DG && var7 == TEMP && var89 == EVA && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var4 == DIESEL && var6 == DG && var7 == TEMP && var89 == EVE && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var4 == DIESEL && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == TKO && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == MY && var4 == DIESEL && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var4 == ESS && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var4 == DIESEL && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var4 == ESS && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var4 == DIESEL && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var4 == DIESEL && var6 == DD && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MA && var4 == DIESEL && var6 == DG && var7 == GDFROI && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var4 == ESS && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MB && var4 == ESS && var6 == DD && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var4 == ESS && var6 == DG && var7 == TEMP && var89 == EVA && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var4 == ESS && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var4 == ESS && var6 == DG && var7 == GDFROI && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MF && var4 == ESS && var6 == DD && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var4 == DIESEL && var6 == DG && var7 == GDFROI && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MK && var4 == DIESEL && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == ML && var4 == ESS && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MS && var4 == ESS && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var4 == DIESEL && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MN && var4 == DIESEL && var6 == DD && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var4 == ESS && var6 == DG && var7 == TEMP && var89 == EVA && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var4 == ESS && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var4 == ESS && var6 == DG && var7 == GDFROI && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MG && var4 == ESS && var6 == DD && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var4 == DIESEL && var6 == DG && var7 == TEMP && var89 == EVA && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var4 == DIESEL && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == MY && var4 == DIESEL && var6 == DD && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var4 == ESS && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU00 && var98 == Autre913 ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var4 == ESS && var6 == DD && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var4 == ESS && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E2 && var3 == MF && var4 == ESS && var6 == DD && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var4 == ESS && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MB && var4 == ESS && var6 == DD && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var4 == ESS && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MC && var4 == ESS && var6 == DD && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var4 == ESS && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MH && var4 == ESS && var6 == DD && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var4 == ESS && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == MG && var4 == ESS && var6 == DD && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var4 == ESS && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU00 && var98 == Autre913 ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var4 == ESS && var6 == DD && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MB && var4 == ESS && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MD && var4 == ESS && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var4 == ESS && var6 == DG && var7 == TEMP && var89 == EVE && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var4 == ESS && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var4 == ESS && var6 == DG && var7 == GDFROI && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MF && var4 == ESS && var6 == DD && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var4 == DIESEL && var6 == DG && var7 == TEMP && var89 == EVE && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MN && var4 == DIESEL && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var4 == ESS && var6 == DG && var7 == TEMP && var89 == EVE && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == MG && var4 == ESS && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var4 == ESS && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var4 == ESS && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MB && var4 == ESS && var6 == DD && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var4 == ESS && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MC && var4 == ESS && var6 == DD && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var4 == ESS && var6 == DG && var7 == TEMP && var89 == EVA && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var4 == ESS && var6 == DG && var7 == TEMP && var89 == EVE && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var4 == ESS && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var4 == ESS && var6 == DG && var7 == GDFROI && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MH && var4 == ESS && var6 == DD && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var4 == ESS && var6 == DG && var7 == TEMP && var89 == EVA && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var4 == ESS && var6 == DG && var7 == TEMP && var89 == EVE && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var4 == ESS && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var4 == ESS && var6 == DG && var7 == GDFROI && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == MG && var4 == ESS && var6 == DD && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var4 == ESS && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU00 && var98 == Autre913 ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var4 == ESS && var6 == DD && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var4 == DIESEL && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MD && var4 == ESS && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var4 == ESS && var6 == DG && var7 == TEMP && var89 == EVA && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var4 == ESS && var6 == DG && var7 == TEMP && var89 == EVE && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ME && var4 == ESS && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var4 == ESS && var6 == DG && var7 == TEMP && var89 == EVA && var91 == TKO && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var4 == ESS && var6 == DG && var7 == TEMP && var89 == EVA && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var4 == ESS && var6 == DG && var7 == TEMP && var89 == EVE && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var4 == ESS && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == TKO && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var4 == ESS && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var4 == ESS && var6 == DG && var7 == GDFROI && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MF && var4 == ESS && var6 == DD && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var4 == DIESEL && var6 == DG && var7 == TEMP && var89 == EVA && var91 == TKO && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var4 == DIESEL && var6 == DG && var7 == TEMP && var89 == EVA && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var4 == DIESEL && var6 == DG && var7 == TEMP && var89 == EVE && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var4 == DIESEL && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == TKO && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var4 == DIESEL && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var4 == DIESEL && var6 == DG && var7 == GDFROI && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var4 == DIESEL && var6 == DD && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var4 == DIESEL && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == TKO && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MK && var4 == DIESEL && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == ML && var4 == ESS && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MM && var4 == ESS && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var4 == DIESEL && var6 == DG && var7 == TEMP && var89 == EVA && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var4 == DIESEL && var6 == DG && var7 == TEMP && var89 == EVE && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MN && var4 == DIESEL && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MG && var4 == ESS && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var4 == DIESEL && var6 == DG && var7 == TEMP && var89 == EVA && var91 == TKO && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var4 == DIESEL && var6 == DG && var7 == TEMP && var89 == EVA && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var4 == DIESEL && var6 == DG && var7 == TEMP && var89 == EVE && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var4 == DIESEL && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == TKO && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var4 == DIESEL && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == MY && var4 == DIESEL && var6 == DD && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var4 == ESS && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var4 == ESS && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MB && var4 == ESS && var6 == DD && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var4 == ESS && var6 == DG && var7 == TEMP && var89 == EVA && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MF && var4 == ESS && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var4 == DIESEL && var6 == DG && var7 == GDFROI && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MK && var4 == DIESEL && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var4 == DIESEL && var6 == DG && var7 == TEMP && var89 == EVA && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var4 == DIESEL && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MN && var4 == DIESEL && var6 == DD && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var4 == ESS && var6 == DG && var7 == TEMP && var89 == EVA && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var4 == ESS && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var4 == ESS && var6 == DG && var7 == GDFROI && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MG && var4 == ESS && var6 == DD && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var4 == DIESEL && var6 == DG && var7 == TEMP && var89 == EVA && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var4 == DIESEL && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == MY && var4 == DIESEL && var6 == DD && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var4 == ESS && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU00 && var98 == Autre913 ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var4 == ESS && var6 == DD && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var4 == DIESEL && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var4 == DIESEL && var6 == DG && var7 == TEMP && var89 == EVA && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var4 == DIESEL && var6 == DG && var7 == TEMP && var89 == EVE && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var4 == DIESEL && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MA && var4 == DIESEL && var6 == DD && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MD && var4 == ESS && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var4 == ESS && var6 == DG && var7 == TEMP && var89 == EVA && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var4 == ESS && var6 == DG && var7 == TEMP && var89 == EVE && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var4 == ESS && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ME && var4 == ESS && var6 == DD && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MF && var4 == ESS && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var4 == DIESEL && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var4 == DIESEL && var6 == DD && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ML && var4 == ESS && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MM && var4 == ESS && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MS && var4 == ESS && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MT && var4 == ESS && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var4 == DIESEL && var6 == DG && var7 == TEMP && var89 == EVA && var91 == Autre613 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var4 == DIESEL && var6 == DG && var7 == TEMP && var89 == EVE && var91 == Autre613 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var4 == DIESEL && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == MU && var4 == DIESEL && var6 == DD && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var4 == ESS && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var4 == DIESEL && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var4 == DIESEL && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var4 == DIESEL && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var4 == ESS && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var4 == DIESEL && var6 == DG && var7 == TEMP && var89 == EVA && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var4 == DIESEL && var6 == DG && var7 == TEMP && var89 == EVE && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var4 == DIESEL && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var4 == DIESEL && var6 == DD && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var4 == DIESEL && var6 == DG && var7 == TEMP && var89 == EVA && var91 == Autre613 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var4 == DIESEL && var6 == DG && var7 == TEMP && var89 == EVE && var91 == Autre613 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var4 == DIESEL && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var4 == DIESEL && var6 == DD && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var4 == DIESEL && var6 == DG && var7 == TEMP && var89 == EVA && var91 == Autre613 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MA && var4 == DIESEL && var6 == DG && var7 == TEMP && var89 == EVA && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var4 == DIESEL && var6 == DG && var7 == TEMP && var89 == EVE && var91 == Autre613 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MA && var4 == DIESEL && var6 == DG && var7 == TEMP && var89 == EVE && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var4 == DIESEL && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MA && var4 == DIESEL && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var4 == DIESEL && var6 == DG && var7 == GDFROI && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MA && var4 == DIESEL && var6 == DD && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MB && var4 == ESS && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MD && var4 == ESS && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var4 == ESS && var6 == DG && var7 == TEMP && var89 == EVA && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var4 == ESS && var6 == DG && var7 == TEMP && var89 == EVE && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var4 == ESS && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ME && var4 == ESS && var6 == DD && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var4 == ESS && var6 == DG && var7 == TEMP && var89 == EVA && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var4 == ESS && var6 == DG && var7 == TEMP && var89 == EVE && var91 == Autre613 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var4 == ESS && var6 == DG && var7 == TEMP && var89 == EVE && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var4 == ESS && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var4 == ESS && var6 == DG && var7 == GDFROI && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MF && var4 == ESS && var6 == DD && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var4 == DIESEL && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var4 == DIESEL && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var4 == DIESEL && var6 == DG && var7 == GDFROI && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var4 == DIESEL && var6 == DD && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MK && var4 == DIESEL && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var4 == ESS && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ML && var4 == ESS && var6 == DG && var7 == GDFROI && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MM && var4 == ESS && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MS && var4 == ESS && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var4 == DIESEL && var6 == DG && var7 == TEMP && var89 == EVA && var91 == Autre613 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MU && var4 == DIESEL && var6 == DG && var7 == TEMP && var89 == EVA && var91 == Autre613 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var4 == DIESEL && var6 == DG && var7 == TEMP && var89 == EVE && var91 == Autre613 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var4 == DIESEL && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU93 && var98 == CPTECO ) || ( var1 == B64 && var2 == E2 && var3 == MU && var4 == DIESEL && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MU && var4 == DIESEL && var6 == DD && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var4 == DIESEL && var6 == DG && var7 == TEMP && var89 == EVA && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var4 == DIESEL && var6 == DG && var7 == TEMP && var89 == EVE && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var4 == DIESEL && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MN && var4 == DIESEL && var6 == DD && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var4 == ESS && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MG && var4 == ESS && var6 == DG && var7 == GDFROI && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var4 == DIESEL && var6 == DG && var7 == TEMP && var89 == EVA && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var4 == DIESEL && var6 == DG && var7 == TEMP && var89 == EVE && var91 == Autre613 && var94 == EU96 && var98 == AZE ) || ( var1 == B64 && var2 == E2 && var3 == MY && var4 == DIESEL && var6 == DG && var7 == TEMP && var89 == EVE && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var4 == DIESEL && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == MY && var4 == DIESEL && var6 == DD && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var4 == ESS && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var4 == ESS && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var4 == DIESEL && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var4 == ESS && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var4 == DIESEL && var6 == DG && var7 == TEMP && var89 == EVA && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var4 == DIESEL && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var4 == DIESEL && var6 == DD && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var4 == DIESEL && var6 == DG && var7 == TEMP && var89 == EVA && var91 == Autre613 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var4 == DIESEL && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var4 == DIESEL && var6 == DD && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU93 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var4 == DIESEL && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MA && var4 == DIESEL && var6 == DG && var7 == GDFROI && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var4 == ESS && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MB && var4 == ESS && var6 == DD && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MC && var4 == ESS && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ME && var4 == ESS && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var4 == ESS && var6 == DG && var7 == TEMP && var89 == EVA && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var4 == ESS && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var4 == ESS && var6 == DG && var7 == GDFROI && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MF && var4 == ESS && var6 == DD && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var4 == DIESEL && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var4 == DIESEL && var6 == DG && var7 == GDFROI && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MK && var4 == DIESEL && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == ML && var4 == ESS && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MS && var4 == ESS && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == CRIT1503 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var4 == DIESEL && var6 == DG && var7 == TEMP && var89 == EVA && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var4 == DIESEL && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MN && var4 == DIESEL && var6 == DD && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var4 == ESS && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MH && var4 == ESS && var6 == DG && var7 == GDFROI && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var4 == ESS && var6 == DG && var7 == TEMP && var89 == EVA && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var4 == ESS && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var4 == ESS && var6 == DG && var7 == GDFROI && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MG && var4 == ESS && var6 == DD && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var4 == DIESEL && var6 == DG && var7 == TEMP && var89 == EVA && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var4 == DIESEL && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == MY && var4 == DIESEL && var6 == DD && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var4 == ESS && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var4 == ESS && var6 == DD && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU00 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var4 == DIESEL && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MK && var4 == DIESEL && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MN && var4 == DIESEL && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MG && var4 == ESS && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == B64 && var2 == E5 && var3 == MY && var4 == DIESEL && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var4 == DIESEL && var6 == DG && var7 == TEMP && var89 == EVA && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var4 == DIESEL && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var4 == DIESEL && var6 == DG && var7 == TEMP && var89 == EVA && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MK && var4 == DIESEL && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var4 == DIESEL && var6 == DG && var7 == TEMP && var89 == EVA && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == F64 && var2 == E2 && var3 == MY && var4 == DIESEL && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var4 == DIESEL && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MD && var4 == ESS && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MF && var4 == ESS && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var4 == DIESEL && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var4 == DIESEL && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU93 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MD && var4 == ESS && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU00 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ME && var4 == ESS && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MF && var4 == ESS && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var4 == DIESEL && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == K25 && var2 == E1 && var3 == ML && var4 == ESS && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var4 == DIESEL && var6 == DG && var7 == TEMP && var89 == Autre513 && var91 == Autre613 && var94 == EU96 && var98 == Autre913 ) ) ); ( ( ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == FRAN && var72 == SSEDNC ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == DOTO && var72 == SSEDNC ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == ALLE && var72 == PARALL ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == AUTR && var72 == SSEDNC ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == BELG && var72 == SSEDNC ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == DANE && var72 == ODIN ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == ESPA && var72 == SSEDNC ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == FINL && var72 == ODIN ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == GRBR && var72 == SSEDNC ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == GREC && var72 == SSEDNC ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == HOLL && var72 == SSEDNC ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == IRLA && var72 == SSEDNC ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == ISLA && var72 == SSEDNC ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == ITAL && var72 == SSEDNC ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == NORV && var72 == ODIN ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == PORT && var72 == SSEDNC ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == SUED && var72 == ODIN ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == SUIS && var72 == SSEDNC ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == YOUG && var72 == SSEDNC ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == MAGH && var72 == DUCA ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == TURQ && var72 == DUCA ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == ARGE && var72 == DUCA ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == BRES && var72 == PARBRE ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == CHIL && var72 == SSEDNC ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == COLO && var72 == DUCA ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == AFSU && var72 == SSEDNC ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == MARO && var72 == DUCA ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == ISRA && var72 == SSEDNC ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == HONG && var72 == SSEDNC ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == TCHE && var72 == PARTCH ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == POLO && var72 == PARPOL ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == JAPO && var72 == FUJI ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == TAIW && var72 == SSEDNC ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == AUST && var72 == KANG ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == URUG && var72 == SSEDNC ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == DAIB && var72 == DUCA ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == DAIC && var72 == DUCA ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == DAID && var72 == SSEDNC ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == DAIF && var72 == VRMI ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == EUOR && var72 == SSEDNC ) || ( var1 == S64 && var2 == E1 && var3 == M9 && var5 == CETI && var72 == DUCA ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == FRAN && var72 == SSEDNC ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == DOTO && var72 == SSEDNC ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == ALLE && var72 == PARALL ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == AUTR && var72 == SSEDNC ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == BELG && var72 == SSEDNC ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == DANE && var72 == ODIN ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == ESPA && var72 == SSEDNC ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == FINL && var72 == ODIN ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == GRBR && var72 == SSEDNC ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == GREC && var72 == SSEDNC ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == HOLL && var72 == SSEDNC ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == IRLA && var72 == SSEDNC ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == ISLA && var72 == SSEDNC ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == ITAL && var72 == SSEDNC ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == NORV && var72 == ODIN ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == PORT && var72 == SSEDNC ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == SUED && var72 == ODIN ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == SUIS && var72 == SSEDNC ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == YOUG && var72 == SSEDNC ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == MAGH && var72 == DUCA ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == TURQ && var72 == DUCA ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == ARGE && var72 == DUCA ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == BRES && var72 == PARBRE ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == CHIL && var72 == SSEDNC ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == COLO && var72 == DUCA ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == AFSU && var72 == SSEDNC ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == MARO && var72 == DUCA ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == ISRA && var72 == SSEDNC ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == HONG && var72 == SSEDNC ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == TCHE && var72 == PARTCH ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == POLO && var72 == PARPOL ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == JAPO && var72 == FUJI ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == TAIW && var72 == SSEDNC ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == AUST && var72 == KANG ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == URUG && var72 == SSEDNC ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == DAIB && var72 == DUCA ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == DAIC && var72 == DUCA ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == DAID && var72 == SSEDNC ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == DAIF && var72 == VRMI ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == EUOR && var72 == SSEDNC ) || ( var1 == S64 && var2 == E1 && var3 == MA && var5 == CETI && var72 == DUCA ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == FRAN && var72 == SSEDNC ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == DOTO && var72 == SSEDNC ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == ALLE && var72 == PARALL ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == AUTR && var72 == SSEDNC ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == BELG && var72 == SSEDNC ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == DANE && var72 == ODIN ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == ESPA && var72 == SSEDNC ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == FINL && var72 == ODIN ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == GRBR && var72 == SSEDNC ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == GREC && var72 == SSEDNC ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == HOLL && var72 == SSEDNC ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == IRLA && var72 == SSEDNC ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == ISLA && var72 == SSEDNC ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == ITAL && var72 == SSEDNC ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == NORV && var72 == ODIN ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == PORT && var72 == SSEDNC ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == SUED && var72 == ODIN ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == SUIS && var72 == SSEDNC ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == YOUG && var72 == SSEDNC ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == MAGH && var72 == DUCA ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == TURQ && var72 == DUCA ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == ARGE && var72 == DUCA ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == BRES && var72 == PARBRE ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == CHIL && var72 == SSEDNC ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == COLO && var72 == DUCA ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == AFSU && var72 == SSEDNC ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == MARO && var72 == DUCA ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == ISRA && var72 == SSEDNC ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == HONG && var72 == SSEDNC ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == TCHE && var72 == PARTCH ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == POLO && var72 == PARPOL ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == JAPO && var72 == FUJI ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == TAIW && var72 == SSEDNC ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == AUST && var72 == KANG ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == URUG && var72 == SSEDNC ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == DAIB && var72 == DUCA ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == DAIC && var72 == DUCA ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == DAID && var72 == SSEDNC ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == DAIF && var72 == VRMI ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == EUOR && var72 == SSEDNC ) || ( var1 == S64 && var2 == E1 && var3 == MJ && var5 == CETI && var72 == DUCA ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == FRAN && var72 == SSEDNC ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == DOTO && var72 == SSEDNC ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == ALLE && var72 == PARALL ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == AUTR && var72 == SSEDNC ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == BELG && var72 == SSEDNC ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == DANE && var72 == ODIN ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == ESPA && var72 == SSEDNC ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == FINL && var72 == ODIN ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == GRBR && var72 == SSEDNC ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == GREC && var72 == SSEDNC ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == HOLL && var72 == SSEDNC ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == IRLA && var72 == SSEDNC ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == ISLA && var72 == SSEDNC ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == ITAL && var72 == SSEDNC ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == NORV && var72 == ODIN ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == PORT && var72 == SSEDNC ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == SUED && var72 == ODIN ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == SUIS && var72 == SSEDNC ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == YOUG && var72 == SSEDNC ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == MAGH && var72 == DUCA ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == TURQ && var72 == DUCA ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == ARGE && var72 == DUCA ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == BRES && var72 == PARBRE ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == CHIL && var72 == SSEDNC ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == COLO && var72 == DUCA ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == AFSU && var72 == SSEDNC ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == MARO && var72 == DUCA ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == ISRA && var72 == SSEDNC ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == HONG && var72 == SSEDNC ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == TCHE && var72 == PARTCH ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == POLO && var72 == PARPOL ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == JAPO && var72 == FUJI ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == TAIW && var72 == SSEDNC ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == AUST && var72 == KANG ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == URUG && var72 == SSEDNC ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == DAIB && var72 == DUCA ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == DAIC && var72 == DUCA ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == DAID && var72 == SSEDNC ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == DAIF && var72 == VRMI ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == EUOR && var72 == SSEDNC ) || ( var1 == S64 && var2 == E1 && var3 == MK && var5 == CETI && var72 == DUCA ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == FRAN && var72 == SSEDNC ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == DOTO && var72 == SSEDNC ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == ALLE && var72 == PARALL ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == AUTR && var72 == SSEDNC ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == BELG && var72 == SSEDNC ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == DANE && var72 == ODIN ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == ESPA && var72 == SSEDNC ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == FINL && var72 == ODIN ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == GRBR && var72 == SSEDNC ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == GREC && var72 == SSEDNC ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == HOLL && var72 == SSEDNC ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == IRLA && var72 == SSEDNC ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == ISLA && var72 == SSEDNC ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == ITAL && var72 == SSEDNC ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == NORV && var72 == ODIN ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == PORT && var72 == SSEDNC ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == SUED && var72 == ODIN ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == SUIS && var72 == SSEDNC ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == YOUG && var72 == SSEDNC ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == MAGH && var72 == DUCA ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == TURQ && var72 == DUCA ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == ARGE && var72 == DUCA ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == BRES && var72 == PARBRE ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == CHIL && var72 == SSEDNC ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == COLO && var72 == DUCA ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == AFSU && var72 == SSEDNC ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == MARO && var72 == DUCA ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == ISRA && var72 == SSEDNC ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == HONG && var72 == SSEDNC ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == TCHE && var72 == PARTCH ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == POLO && var72 == PARPOL ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == JAPO && var72 == FUJI ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == TAIW && var72 == SSEDNC ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == AUST && var72 == KANG ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == URUG && var72 == SSEDNC ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == DAIB && var72 == DUCA ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == DAIC && var72 == DUCA ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == DAID && var72 == SSEDNC ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == DAIF && var72 == VRMI ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == EUOR && var72 == SSEDNC ) || ( var1 == S64 && var2 == E1 && var3 == MU && var5 == CETI && var72 == DUCA ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == FRAN && var72 == SSEDNC ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == DOTO && var72 == SSEDNC ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == ALLE && var72 == PARALL ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == AUTR && var72 == SSEDNC ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == BELG && var72 == SSEDNC ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == DANE && var72 == ODIN ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == ESPA && var72 == SSEDNC ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == FINL && var72 == ODIN ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == GRBR && var72 == SSEDNC ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == GREC && var72 == SSEDNC ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == HOLL && var72 == SSEDNC ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == IRLA && var72 == SSEDNC ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == ISLA && var72 == SSEDNC ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == ITAL && var72 == SSEDNC ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == NORV && var72 == ODIN ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == PORT && var72 == SSEDNC ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == SUED && var72 == ODIN ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == SUIS && var72 == SSEDNC ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == YOUG && var72 == SSEDNC ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == MAGH && var72 == DUCA ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == TURQ && var72 == DUCA ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == ARGE && var72 == DUCA ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == BRES && var72 == PARBRE ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == CHIL && var72 == SSEDNC ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == COLO && var72 == DUCA ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == AFSU && var72 == SSEDNC ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == MARO && var72 == DUCA ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == ISRA && var72 == SSEDNC ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == HONG && var72 == SSEDNC ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == TCHE && var72 == PARTCH ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == POLO && var72 == PARPOL ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == JAPO && var72 == FUJI ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == TAIW && var72 == SSEDNC ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == AUST && var72 == KANG ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == URUG && var72 == SSEDNC ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == DAIB && var72 == DUCA ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == DAIC && var72 == DUCA ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == DAID && var72 == SSEDNC ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == DAIF && var72 == VRMI ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == EUOR && var72 == SSEDNC ) || ( var1 == S64 && var2 == E1 && var3 == MY && var5 == CETI && var72 == DUCA ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == FRAN && var72 == SSEDNC ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == DOTO && var72 == SSEDNC ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == ALLE && var72 == PARALL ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == AUTR && var72 == SSEDNC ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == BELG && var72 == SSEDNC ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == DANE && var72 == ODIN ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == ESPA && var72 == SSEDNC ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == FINL && var72 == ODIN ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == GRBR && var72 == SSEDNC ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == GREC && var72 == SSEDNC ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == HOLL && var72 == SSEDNC ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == IRLA && var72 == SSEDNC ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == ISLA && var72 == SSEDNC ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == ITAL && var72 == SSEDNC ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == NORV && var72 == ODIN ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == PORT && var72 == SSEDNC ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == SUED && var72 == ODIN ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == SUIS && var72 == SSEDNC ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == YOUG && var72 == SSEDNC ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == MAGH && var72 == DUCA ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == TURQ && var72 == DUCA ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == ARGE && var72 == DUCA ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == BRES && var72 == PARBRE ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == CHIL && var72 == SSEDNC ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == COLO && var72 == DUCA ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == AFSU && var72 == SSEDNC ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == MARO && var72 == DUCA ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == ISRA && var72 == SSEDNC ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == HONG && var72 == SSEDNC ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == TCHE && var72 == PARTCH ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == POLO && var72 == PARPOL ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == JAPO && var72 == FUJI ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == TAIW && var72 == SSEDNC ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == AUST && var72 == KANG ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == URUG && var72 == SSEDNC ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == DAIB && var72 == DUCA ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == DAIC && var72 == DUCA ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == DAID && var72 == SSEDNC ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == DAIF && var72 == VRMI ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == EUOR && var72 == SSEDNC ) || ( var1 == S64 && var2 == E2 && var3 == M9 && var5 == CETI && var72 == DUCA ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == FRAN && var72 == SSEDNC ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == DOTO && var72 == SSEDNC ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == ALLE && var72 == PARALL ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == AUTR && var72 == SSEDNC ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == BELG && var72 == SSEDNC ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == DANE && var72 == ODIN ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == ESPA && var72 == SSEDNC ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == FINL && var72 == ODIN ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == GRBR && var72 == SSEDNC ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == GREC && var72 == SSEDNC ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == HOLL && var72 == SSEDNC ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == IRLA && var72 == SSEDNC ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == ISLA && var72 == SSEDNC ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == ITAL && var72 == SSEDNC ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == NORV && var72 == ODIN ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == PORT && var72 == SSEDNC ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == SUED && var72 == ODIN ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == SUIS && var72 == SSEDNC ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == YOUG && var72 == SSEDNC ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == MAGH && var72 == DUCA ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == TURQ && var72 == DUCA ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == ARGE && var72 == DUCA ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == BRES && var72 == PARBRE ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == CHIL && var72 == SSEDNC ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == COLO && var72 == DUCA ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == AFSU && var72 == SSEDNC ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == MARO && var72 == DUCA ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == ISRA && var72 == SSEDNC ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == HONG && var72 == SSEDNC ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == TCHE && var72 == PARTCH ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == POLO && var72 == PARPOL ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == JAPO && var72 == FUJI ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == TAIW && var72 == SSEDNC ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == AUST && var72 == KANG ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == URUG && var72 == SSEDNC ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == DAIB && var72 == DUCA ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == DAIC && var72 == DUCA ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == DAID && var72 == SSEDNC ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == DAIF && var72 == VRMI ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == EUOR && var72 == SSEDNC ) || ( var1 == S64 && var2 == E2 && var3 == MA && var5 == CETI && var72 == DUCA ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == FRAN && var72 == SSEDNC ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == DOTO && var72 == SSEDNC ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == ALLE && var72 == PARALL ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == AUTR && var72 == SSEDNC ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == BELG && var72 == SSEDNC ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == DANE && var72 == ODIN ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == ESPA && var72 == SSEDNC ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == FINL && var72 == ODIN ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == GRBR && var72 == SSEDNC ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == GREC && var72 == SSEDNC ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == HOLL && var72 == SSEDNC ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == IRLA && var72 == SSEDNC ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == ISLA && var72 == SSEDNC ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == ITAL && var72 == SSEDNC ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == NORV && var72 == ODIN ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == PORT && var72 == SSEDNC ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == SUED && var72 == ODIN ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == SUIS && var72 == SSEDNC ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == YOUG && var72 == SSEDNC ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == MAGH && var72 == DUCA ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == TURQ && var72 == DUCA ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == ARGE && var72 == DUCA ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == BRES && var72 == PARBRE ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == CHIL && var72 == SSEDNC ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == COLO && var72 == DUCA ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == AFSU && var72 == SSEDNC ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == MARO && var72 == DUCA ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == ISRA && var72 == SSEDNC ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == HONG && var72 == SSEDNC ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == TCHE && var72 == PARTCH ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == POLO && var72 == PARPOL ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == JAPO && var72 == FUJI ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == TAIW && var72 == SSEDNC ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == AUST && var72 == KANG ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == URUG && var72 == SSEDNC ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == DAIB && var72 == DUCA ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == DAIC && var72 == DUCA ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == DAID && var72 == SSEDNC ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == DAIF && var72 == VRMI ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == EUOR && var72 == SSEDNC ) || ( var1 == S64 && var2 == E2 && var3 == MJ && var5 == CETI && var72 == DUCA ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == FRAN && var72 == SSEDNC ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == DOTO && var72 == SSEDNC ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == ALLE && var72 == PARALL ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == AUTR && var72 == SSEDNC ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == BELG && var72 == SSEDNC ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == DANE && var72 == ODIN ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == ESPA && var72 == SSEDNC ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == FINL && var72 == ODIN ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == GRBR && var72 == SSEDNC ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == GREC && var72 == SSEDNC ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == HOLL && var72 == SSEDNC ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == IRLA && var72 == SSEDNC ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == ISLA && var72 == SSEDNC ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == ITAL && var72 == SSEDNC ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == NORV && var72 == ODIN ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == PORT && var72 == SSEDNC ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == SUED && var72 == ODIN ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == SUIS && var72 == SSEDNC ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == YOUG && var72 == SSEDNC ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == MAGH && var72 == DUCA ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == TURQ && var72 == DUCA ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == ARGE && var72 == DUCA ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == BRES && var72 == PARBRE ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == CHIL && var72 == SSEDNC ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == COLO && var72 == DUCA ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == AFSU && var72 == SSEDNC ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == MARO && var72 == DUCA ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == ISRA && var72 == SSEDNC ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == HONG && var72 == SSEDNC ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == TCHE && var72 == PARTCH ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == POLO && var72 == PARPOL ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == JAPO && var72 == FUJI ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == TAIW && var72 == SSEDNC ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == AUST && var72 == KANG ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == URUG && var72 == SSEDNC ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == DAIB && var72 == DUCA ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == DAIC && var72 == DUCA ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == DAID && var72 == SSEDNC ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == DAIF && var72 == VRMI ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == EUOR && var72 == SSEDNC ) || ( var1 == S64 && var2 == E2 && var3 == MK && var5 == CETI && var72 == DUCA ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == FRAN && var72 == SSEDNC ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == DOTO && var72 == SSEDNC ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == ALLE && var72 == PARALL ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == AUTR && var72 == SSEDNC ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == BELG && var72 == SSEDNC ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == DANE && var72 == ODIN ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == ESPA && var72 == SSEDNC ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == FINL && var72 == ODIN ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == GRBR && var72 == SSEDNC ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == GREC && var72 == SSEDNC ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == HOLL && var72 == SSEDNC ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == IRLA && var72 == SSEDNC ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == ISLA && var72 == SSEDNC ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == ITAL && var72 == SSEDNC ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == NORV && var72 == ODIN ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == PORT && var72 == SSEDNC ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == SUED && var72 == ODIN ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == SUIS && var72 == SSEDNC ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == YOUG && var72 == SSEDNC ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == MAGH && var72 == DUCA ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == TURQ && var72 == DUCA ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == ARGE && var72 == DUCA ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == BRES && var72 == PARBRE ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == CHIL && var72 == SSEDNC ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == COLO && var72 == DUCA ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == AFSU && var72 == SSEDNC ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == MARO && var72 == DUCA ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == ISRA && var72 == SSEDNC ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == HONG && var72 == SSEDNC ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == TCHE && var72 == PARTCH ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == POLO && var72 == PARPOL ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == JAPO && var72 == FUJI ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == TAIW && var72 == SSEDNC ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == AUST && var72 == KANG ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == URUG && var72 == SSEDNC ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == DAIB && var72 == DUCA ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == DAIC && var72 == DUCA ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == DAID && var72 == SSEDNC ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == DAIF && var72 == VRMI ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == EUOR && var72 == SSEDNC ) || ( var1 == S64 && var2 == E2 && var3 == MU && var5 == CETI && var72 == DUCA ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == FRAN && var72 == SSEDNC ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == DOTO && var72 == SSEDNC ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == ALLE && var72 == PARALL ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == AUTR && var72 == SSEDNC ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == BELG && var72 == SSEDNC ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == DANE && var72 == ODIN ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == ESPA && var72 == SSEDNC ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == FINL && var72 == ODIN ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == GRBR && var72 == SSEDNC ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == GREC && var72 == SSEDNC ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == HOLL && var72 == SSEDNC ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == IRLA && var72 == SSEDNC ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == ISLA && var72 == SSEDNC ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == ITAL && var72 == SSEDNC ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == NORV && var72 == ODIN ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == PORT && var72 == SSEDNC ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == SUED && var72 == ODIN ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == SUIS && var72 == SSEDNC ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == YOUG && var72 == SSEDNC ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == MAGH && var72 == DUCA ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == TURQ && var72 == DUCA ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == ARGE && var72 == DUCA ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == BRES && var72 == PARBRE ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == CHIL && var72 == SSEDNC ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == COLO && var72 == DUCA ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == AFSU && var72 == SSEDNC ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == MARO && var72 == DUCA ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == ISRA && var72 == SSEDNC ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == HONG && var72 == SSEDNC ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == TCHE && var72 == PARTCH ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == POLO && var72 == PARPOL ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == JAPO && var72 == FUJI ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == TAIW && var72 == SSEDNC ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == AUST && var72 == KANG ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == URUG && var72 == SSEDNC ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == DAIB && var72 == DUCA ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == DAIC && var72 == DUCA ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == DAID && var72 == SSEDNC ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == DAIF && var72 == VRMI ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == EUOR && var72 == SSEDNC ) || ( var1 == S64 && var2 == E2 && var3 == MY && var5 == CETI && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == FRAN && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == DOTO && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == ALLE && var72 == PARALL ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == AUTR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == BELG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == DANE && var72 == ODIN ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == ESPA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == FINL && var72 == ODIN ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == GRBR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == GREC && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == HOLL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == IRLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == ISLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == ITAL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == NORV && var72 == ODIN ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == PORT && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == SUED && var72 == ODIN ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == SUIS && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == YOUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == MAGH && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == TURQ && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == ARGE && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == BRES && var72 == PARBRE ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == CHIL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == COLO && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == AFSU && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == MARO && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == ISRA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == HONG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == TCHE && var72 == PARTCH ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == POLO && var72 == PARPOL ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == JAPO && var72 == FUJI ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == TAIW && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == AUST && var72 == KANG ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == URUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == DAIB && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == DAIC && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == DAID && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == DAIF && var72 == VRMI ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == EUOR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == M5 && var5 == CETI && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == FRAN && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == DOTO && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == ALLE && var72 == PARALL ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == AUTR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == BELG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == DANE && var72 == ODIN ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == ESPA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == FINL && var72 == ODIN ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == GRBR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == GREC && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == HOLL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == IRLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == ISLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == ITAL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == NORV && var72 == ODIN ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == PORT && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == SUED && var72 == ODIN ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == SUIS && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == YOUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == MAGH && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == TURQ && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == ARGE && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == BRES && var72 == PARBRE ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == CHIL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == COLO && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == AFSU && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == MARO && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == ISRA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == HONG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == TCHE && var72 == PARTCH ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == POLO && var72 == PARPOL ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == JAPO && var72 == FUJI ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == TAIW && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == AUST && var72 == KANG ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == URUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == DAIB && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == DAIC && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == DAID && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == DAIF && var72 == VRMI ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == EUOR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == M6 && var5 == CETI && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == FRAN && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == DOTO && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == ALLE && var72 == PARALL ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == AUTR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == BELG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == DANE && var72 == ODIN ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == ESPA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == FINL && var72 == ODIN ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == GRBR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == GREC && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == HOLL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == IRLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == ISLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == ITAL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == NORV && var72 == ODIN ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == PORT && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == SUED && var72 == ODIN ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == SUIS && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == YOUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == MAGH && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == TURQ && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == ARGE && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == BRES && var72 == PARBRE ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == CHIL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == COLO && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == AFSU && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == MARO && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == ISRA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == HONG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == TCHE && var72 == PARTCH ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == POLO && var72 == PARPOL ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == JAPO && var72 == FUJI ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == TAIW && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == AUST && var72 == KANG ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == URUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == DAIB && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == DAIC && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == DAID && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == DAIF && var72 == VRMI ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == EUOR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == M7 && var5 == CETI && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == FRAN && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == DOTO && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == ALLE && var72 == PARALL ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == AUTR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == BELG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == DANE && var72 == ODIN ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == ESPA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == FINL && var72 == ODIN ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == GRBR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == GREC && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == HOLL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == IRLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == ISLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == ITAL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == NORV && var72 == ODIN ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == PORT && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == SUED && var72 == ODIN ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == SUIS && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == YOUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == MAGH && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == TURQ && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == ARGE && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == BRES && var72 == PARBRE ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == CHIL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == COLO && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == AFSU && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == MARO && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == ISRA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == HONG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == TCHE && var72 == PARTCH ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == POLO && var72 == PARPOL ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == JAPO && var72 == FUJI ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == TAIW && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == AUST && var72 == KANG ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == URUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == DAIB && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == DAIC && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == DAID && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == DAIF && var72 == VRMI ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == EUOR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == M8 && var5 == CETI && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == FRAN && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == DOTO && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == ALLE && var72 == PARALL ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == AUTR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == BELG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == DANE && var72 == ODIN ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == ESPA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == FINL && var72 == ODIN ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == GRBR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == GREC && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == HOLL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == IRLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == ISLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == ITAL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == NORV && var72 == ODIN ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == PORT && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == SUED && var72 == ODIN ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == SUIS && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == YOUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == MAGH && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == TURQ && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == ARGE && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == BRES && var72 == PARBRE ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == CHIL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == COLO && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == AFSU && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == MARO && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == ISRA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == HONG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == TCHE && var72 == PARTCH ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == POLO && var72 == PARPOL ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == JAPO && var72 == FUJI ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == TAIW && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == AUST && var72 == KANG ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == URUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == DAIB && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == DAIC && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == DAID && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == DAIF && var72 == VRMI ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == EUOR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == M9 && var5 == CETI && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == FRAN && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == DOTO && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == ALLE && var72 == PARALL ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == AUTR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == BELG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == DANE && var72 == ODIN ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == ESPA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == FINL && var72 == ODIN ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == GRBR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == GREC && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == HOLL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == IRLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == ISLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == ITAL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == NORV && var72 == ODIN ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == PORT && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == SUED && var72 == ODIN ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == SUIS && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == YOUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == MAGH && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == TURQ && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == ARGE && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == BRES && var72 == PARBRE ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == CHIL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == COLO && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == AFSU && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == MARO && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == ISRA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == HONG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == TCHE && var72 == PARTCH ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == POLO && var72 == PARPOL ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == JAPO && var72 == FUJI ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == TAIW && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == AUST && var72 == KANG ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == URUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == DAIB && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == DAIC && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == DAID && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == DAIF && var72 == VRMI ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == EUOR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MA && var5 == CETI && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == FRAN && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == DOTO && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == ALLE && var72 == PARALL ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == AUTR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == BELG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == DANE && var72 == ODIN ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == ESPA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == FINL && var72 == ODIN ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == GRBR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == GREC && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == HOLL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == IRLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == ISLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == ITAL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == NORV && var72 == ODIN ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == PORT && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == SUED && var72 == ODIN ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == SUIS && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == YOUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == MAGH && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == TURQ && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == ARGE && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == BRES && var72 == PARBRE ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == CHIL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == COLO && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == AFSU && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == MARO && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == ISRA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == HONG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == TCHE && var72 == PARTCH ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == POLO && var72 == PARPOL ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == JAPO && var72 == FUJI ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == TAIW && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == AUST && var72 == KANG ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == URUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == DAIB && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == DAIC && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == DAID && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == DAIF && var72 == VRMI ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == EUOR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MB && var5 == CETI && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == FRAN && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == DOTO && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == ALLE && var72 == PARALL ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == AUTR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == BELG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == DANE && var72 == ODIN ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == ESPA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == FINL && var72 == ODIN ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == GRBR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == GREC && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == HOLL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == IRLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == ISLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == ITAL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == NORV && var72 == ODIN ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == PORT && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == SUED && var72 == ODIN ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == SUIS && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == YOUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == MAGH && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == TURQ && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == ARGE && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == BRES && var72 == PARBRE ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == CHIL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == COLO && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == AFSU && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == MARO && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == ISRA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == HONG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == TCHE && var72 == PARTCH ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == POLO && var72 == PARPOL ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == JAPO && var72 == FUJI ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == TAIW && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == AUST && var72 == KANG ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == URUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == DAIB && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == DAIC && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == DAID && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == DAIF && var72 == VRMI ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == EUOR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MC && var5 == CETI && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == FRAN && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == DOTO && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == ALLE && var72 == PARALL ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == AUTR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == BELG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == DANE && var72 == ODIN ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == ESPA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == FINL && var72 == ODIN ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == GRBR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == GREC && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == HOLL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == IRLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == ISLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == ITAL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == NORV && var72 == ODIN ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == PORT && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == SUED && var72 == ODIN ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == SUIS && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == YOUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == MAGH && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == TURQ && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == ARGE && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == BRES && var72 == PARBRE ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == CHIL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == COLO && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == AFSU && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == MARO && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == ISRA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == HONG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == TCHE && var72 == PARTCH ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == POLO && var72 == PARPOL ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == JAPO && var72 == FUJI ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == TAIW && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == AUST && var72 == KANG ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == URUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == DAIB && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == DAIC && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == DAID && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == DAIF && var72 == VRMI ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == EUOR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MD && var5 == CETI && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == FRAN && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == DOTO && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == ALLE && var72 == PARALL ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == AUTR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == BELG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == DANE && var72 == ODIN ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == ESPA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == FINL && var72 == ODIN ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == GRBR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == GREC && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == HOLL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == IRLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == ISLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == ITAL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == NORV && var72 == ODIN ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == PORT && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == SUED && var72 == ODIN ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == SUIS && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == YOUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == MAGH && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == TURQ && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == ARGE && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == BRES && var72 == PARBRE ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == CHIL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == COLO && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == AFSU && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == MARO && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == ISRA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == HONG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == TCHE && var72 == PARTCH ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == POLO && var72 == PARPOL ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == JAPO && var72 == FUJI ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == TAIW && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == AUST && var72 == KANG ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == URUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == DAIB && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == DAIC && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == DAID && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == DAIF && var72 == VRMI ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == EUOR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == ME && var5 == CETI && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == FRAN && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == DOTO && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == ALLE && var72 == PARALL ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == AUTR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == BELG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == DANE && var72 == ODIN ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == ESPA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == FINL && var72 == ODIN ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == GRBR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == GREC && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == HOLL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == IRLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == ISLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == ITAL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == NORV && var72 == ODIN ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == PORT && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == SUED && var72 == ODIN ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == SUIS && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == YOUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == MAGH && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == TURQ && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == ARGE && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == BRES && var72 == PARBRE ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == CHIL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == COLO && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == AFSU && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == MARO && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == ISRA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == HONG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == TCHE && var72 == PARTCH ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == POLO && var72 == PARPOL ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == JAPO && var72 == FUJI ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == TAIW && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == AUST && var72 == KANG ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == URUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == DAIB && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == DAIC && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == DAID && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == DAIF && var72 == VRMI ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == EUOR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MF && var5 == CETI && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == FRAN && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == DOTO && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == ALLE && var72 == PARALL ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == AUTR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == BELG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == DANE && var72 == ODIN ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == ESPA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == FINL && var72 == ODIN ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == GRBR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == GREC && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == HOLL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == IRLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == ISLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == ITAL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == NORV && var72 == ODIN ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == PORT && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == SUED && var72 == ODIN ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == SUIS && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == YOUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == MAGH && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == TURQ && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == ARGE && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == BRES && var72 == PARBRE ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == CHIL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == COLO && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == AFSU && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == MARO && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == ISRA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == HONG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == TCHE && var72 == PARTCH ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == POLO && var72 == PARPOL ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == JAPO && var72 == FUJI ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == TAIW && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == AUST && var72 == KANG ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == URUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == DAIB && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == DAIC && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == DAID && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == DAIF && var72 == VRMI ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == EUOR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MJ && var5 == CETI && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == FRAN && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == DOTO && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == ALLE && var72 == PARALL ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == AUTR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == BELG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == DANE && var72 == ODIN ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == ESPA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == FINL && var72 == ODIN ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == GRBR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == GREC && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == HOLL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == IRLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == ISLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == ITAL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == NORV && var72 == ODIN ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == PORT && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == SUED && var72 == ODIN ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == SUIS && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == YOUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == MAGH && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == TURQ && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == ARGE && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == BRES && var72 == PARBRE ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == CHIL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == COLO && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == AFSU && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == MARO && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == ISRA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == HONG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == TCHE && var72 == PARTCH ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == POLO && var72 == PARPOL ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == JAPO && var72 == FUJI ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == TAIW && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == AUST && var72 == KANG ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == URUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == DAIB && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == DAIC && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == DAID && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == DAIF && var72 == VRMI ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == EUOR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MK && var5 == CETI && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == FRAN && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == DOTO && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == ALLE && var72 == PARALL ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == AUTR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == BELG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == DANE && var72 == ODIN ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == ESPA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == FINL && var72 == ODIN ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == GRBR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == GREC && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == HOLL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == IRLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == ISLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == ITAL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == NORV && var72 == ODIN ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == PORT && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == SUED && var72 == ODIN ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == SUIS && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == YOUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == MAGH && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == TURQ && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == ARGE && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == BRES && var72 == PARBRE ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == CHIL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == COLO && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == AFSU && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == MARO && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == ISRA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == HONG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == TCHE && var72 == PARTCH ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == POLO && var72 == PARPOL ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == JAPO && var72 == FUJI ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == TAIW && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == AUST && var72 == KANG ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == URUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == DAIB && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == DAIC && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == DAID && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == DAIF && var72 == VRMI ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == EUOR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == ML && var5 == CETI && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == FRAN && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == DOTO && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == ALLE && var72 == PARALL ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == AUTR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == BELG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == DANE && var72 == ODIN ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == ESPA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == FINL && var72 == ODIN ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == GRBR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == GREC && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == HOLL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == IRLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == ISLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == ITAL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == NORV && var72 == ODIN ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == PORT && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == SUED && var72 == ODIN ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == SUIS && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == YOUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == MAGH && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == TURQ && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == ARGE && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == BRES && var72 == PARBRE ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == CHIL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == COLO && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == AFSU && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == MARO && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == ISRA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == HONG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == TCHE && var72 == PARTCH ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == POLO && var72 == PARPOL ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == JAPO && var72 == FUJI ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == TAIW && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == AUST && var72 == KANG ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == URUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == DAIB && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == DAIC && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == DAID && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == DAIF && var72 == VRMI ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == EUOR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MM && var5 == CETI && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == FRAN && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == DOTO && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == ALLE && var72 == PARALL ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == AUTR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == BELG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == DANE && var72 == ODIN ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == ESPA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == FINL && var72 == ODIN ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == GRBR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == GREC && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == HOLL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == IRLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == ISLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == ITAL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == NORV && var72 == ODIN ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == PORT && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == SUED && var72 == ODIN ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == SUIS && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == YOUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == MAGH && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == TURQ && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == ARGE && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == BRES && var72 == PARBRE ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == CHIL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == COLO && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == AFSU && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == MARO && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == ISRA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == HONG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == TCHE && var72 == PARTCH ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == POLO && var72 == PARPOL ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == JAPO && var72 == FUJI ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == TAIW && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == AUST && var72 == KANG ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == URUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == DAIB && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == DAIC && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == DAID && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == DAIF && var72 == VRMI ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == EUOR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MS && var5 == CETI && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == FRAN && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == DOTO && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == ALLE && var72 == PARALL ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == AUTR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == BELG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == DANE && var72 == ODIN ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == ESPA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == FINL && var72 == ODIN ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == GRBR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == GREC && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == HOLL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == IRLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == ISLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == ITAL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == NORV && var72 == ODIN ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == PORT && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == SUED && var72 == ODIN ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == SUIS && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == YOUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == MAGH && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == TURQ && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == ARGE && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == BRES && var72 == PARBRE ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == CHIL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == COLO && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == AFSU && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == MARO && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == ISRA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == HONG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == TCHE && var72 == PARTCH ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == POLO && var72 == PARPOL ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == JAPO && var72 == FUJI ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == TAIW && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == AUST && var72 == KANG ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == URUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == DAIB && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == DAIC && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == DAID && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == DAIF && var72 == VRMI ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == EUOR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MT && var5 == CETI && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == FRAN && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == DOTO && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == ALLE && var72 == PARALL ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == AUTR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == BELG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == DANE && var72 == ODIN ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == ESPA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == FINL && var72 == ODIN ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == GRBR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == GREC && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == HOLL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == IRLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == ISLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == ITAL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == NORV && var72 == ODIN ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == PORT && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == SUED && var72 == ODIN ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == SUIS && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == YOUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == MAGH && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == TURQ && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == ARGE && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == BRES && var72 == PARBRE ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == CHIL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == COLO && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == AFSU && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == MARO && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == ISRA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == HONG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == TCHE && var72 == PARTCH ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == POLO && var72 == PARPOL ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == JAPO && var72 == FUJI ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == TAIW && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == AUST && var72 == KANG ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == URUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == DAIB && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == DAIC && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == DAID && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == DAIF && var72 == VRMI ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == EUOR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MU && var5 == CETI && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == FRAN && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == DOTO && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == ALLE && var72 == PARALL ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == AUTR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == BELG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == DANE && var72 == ODIN ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == ESPA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == FINL && var72 == ODIN ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == GRBR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == GREC && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == HOLL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == IRLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == ISLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == ITAL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == NORV && var72 == ODIN ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == PORT && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == SUED && var72 == ODIN ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == SUIS && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == YOUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == MAGH && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == TURQ && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == ARGE && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == BRES && var72 == PARBRE ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == CHIL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == COLO && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == AFSU && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == MARO && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == ISRA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == HONG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == TCHE && var72 == PARTCH ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == POLO && var72 == PARPOL ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == JAPO && var72 == FUJI ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == TAIW && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == AUST && var72 == KANG ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == URUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == DAIB && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == DAIC && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == DAID && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == DAIF && var72 == VRMI ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == EUOR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MN && var5 == CETI && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == FRAN && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == DOTO && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == ALLE && var72 == PARALL ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == AUTR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == BELG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == DANE && var72 == ODIN ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == ESPA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == FINL && var72 == ODIN ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == GRBR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == GREC && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == HOLL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == IRLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == ISLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == ITAL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == NORV && var72 == ODIN ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == PORT && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == SUED && var72 == ODIN ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == SUIS && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == YOUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == MAGH && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == TURQ && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == ARGE && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == BRES && var72 == PARBRE ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == CHIL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == COLO && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == AFSU && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == MARO && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == ISRA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == HONG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == TCHE && var72 == PARTCH ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == POLO && var72 == PARPOL ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == JAPO && var72 == FUJI ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == TAIW && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == AUST && var72 == KANG ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == URUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == DAIB && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == DAIC && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == DAID && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == DAIF && var72 == VRMI ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == EUOR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MH && var5 == CETI && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == FRAN && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == DOTO && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == ALLE && var72 == PARALL ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == AUTR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == BELG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == DANE && var72 == ODIN ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == ESPA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == FINL && var72 == ODIN ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == GRBR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == GREC && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == HOLL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == IRLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == ISLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == ITAL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == NORV && var72 == ODIN ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == PORT && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == SUED && var72 == ODIN ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == SUIS && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == YOUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == MAGH && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == TURQ && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == ARGE && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == BRES && var72 == PARBRE ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == CHIL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == COLO && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == AFSU && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == MARO && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == ISRA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == HONG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == TCHE && var72 == PARTCH ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == POLO && var72 == PARPOL ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == JAPO && var72 == FUJI ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == TAIW && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == AUST && var72 == KANG ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == URUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == DAIB && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == DAIC && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == DAID && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == DAIF && var72 == VRMI ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == EUOR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MG && var5 == CETI && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == FRAN && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == DOTO && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == ALLE && var72 == PARALL ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == AUTR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == BELG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == DANE && var72 == ODIN ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == ESPA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == FINL && var72 == ODIN ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == GRBR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == GREC && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == HOLL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == IRLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == ISLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == ITAL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == NORV && var72 == ODIN ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == PORT && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == SUED && var72 == ODIN ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == SUIS && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == YOUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == MAGH && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == TURQ && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == ARGE && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == BRES && var72 == PARBRE ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == CHIL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == COLO && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == AFSU && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == MARO && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == ISRA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == HONG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == TCHE && var72 == PARTCH ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == POLO && var72 == PARPOL ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == JAPO && var72 == FUJI ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == TAIW && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == AUST && var72 == KANG ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == URUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == DAIB && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == DAIC && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == DAID && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == DAIF && var72 == VRMI ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == EUOR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == MY && var5 == CETI && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == FRAN && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == DOTO && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == ALLE && var72 == PARALL ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == AUTR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == BELG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == DANE && var72 == ODIN ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == ESPA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == FINL && var72 == ODIN ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == GRBR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == GREC && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == HOLL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == IRLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == ISLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == ITAL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == NORV && var72 == ODIN ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == PORT && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == SUED && var72 == ODIN ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == SUIS && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == YOUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == MAGH && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == TURQ && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == ARGE && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == BRES && var72 == PARBRE ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == CHIL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == COLO && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == AFSU && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == MARO && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == ISRA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == HONG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == TCHE && var72 == PARTCH ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == POLO && var72 == PARPOL ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == JAPO && var72 == FUJI ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == TAIW && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == AUST && var72 == KANG ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == URUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == DAIB && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == DAIC && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == DAID && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == DAIF && var72 == VRMI ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == EUOR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == NM2K && var5 == CETI && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == FRAN && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == DOTO && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == ALLE && var72 == PARALL ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == AUTR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == BELG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == DANE && var72 == ODIN ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == ESPA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == FINL && var72 == ODIN ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == GRBR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == GREC && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == HOLL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == IRLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == ISLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == ITAL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == NORV && var72 == ODIN ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == PORT && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == SUED && var72 == ODIN ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == SUIS && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == YOUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == MAGH && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == TURQ && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == ARGE && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == BRES && var72 == PARBRE ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == CHIL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == COLO && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == AFSU && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == MARO && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == ISRA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == HONG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == TCHE && var72 == PARTCH ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == POLO && var72 == PARPOL ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == JAPO && var72 == FUJI ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == TAIW && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == AUST && var72 == KANG ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == URUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == DAIB && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == DAIC && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == DAID && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == DAIF && var72 == VRMI ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == EUOR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == NM0C && var5 == CETI && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == FRAN && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == DOTO && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == ALLE && var72 == PARALL ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == AUTR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == BELG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == DANE && var72 == ODIN ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == ESPA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == FINL && var72 == ODIN ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == GRBR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == GREC && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == HOLL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == IRLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == ISLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == ITAL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == NORV && var72 == ODIN ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == PORT && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == SUED && var72 == ODIN ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == SUIS && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == YOUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == MAGH && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == TURQ && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == ARGE && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == BRES && var72 == PARBRE ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == CHIL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == COLO && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == AFSU && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == MARO && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == ISRA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == HONG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == TCHE && var72 == PARTCH ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == POLO && var72 == PARPOL ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == JAPO && var72 == FUJI ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == TAIW && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == AUST && var72 == KANG ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == URUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == DAIB && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == DAIC && var72 == DUCA ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == DAID && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == DAIF && var72 == VRMI ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == EUOR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E1 && var3 == ND1G && var5 == CETI && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == FRAN && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == DOTO && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == ALLE && var72 == PARALL ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == AUTR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == BELG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == DANE && var72 == ODIN ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == ESPA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == FINL && var72 == ODIN ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == GRBR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == GREC && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == HOLL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == IRLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == ISLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == ITAL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == NORV && var72 == ODIN ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == PORT && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == SUED && var72 == ODIN ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == SUIS && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == YOUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == MAGH && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == TURQ && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == ARGE && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == BRES && var72 == PARBRE ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == CHIL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == COLO && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == AFSU && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == MARO && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == ISRA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == HONG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == TCHE && var72 == PARTCH ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == POLO && var72 == PARPOL ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == JAPO && var72 == FUJI ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == TAIW && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == AUST && var72 == KANG ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == URUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == DAIB && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == DAIC && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == DAID && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == DAIF && var72 == VRMI ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == EUOR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == M5 && var5 == CETI && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == FRAN && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == DOTO && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == ALLE && var72 == PARALL ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == AUTR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == BELG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == DANE && var72 == ODIN ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == ESPA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == FINL && var72 == ODIN ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == GRBR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == GREC && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == HOLL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == IRLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == ISLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == ITAL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == NORV && var72 == ODIN ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == PORT && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == SUED && var72 == ODIN ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == SUIS && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == YOUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == MAGH && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == TURQ && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == ARGE && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == BRES && var72 == PARBRE ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == CHIL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == COLO && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == AFSU && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == MARO && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == ISRA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == HONG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == TCHE && var72 == PARTCH ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == POLO && var72 == PARPOL ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == JAPO && var72 == FUJI ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == TAIW && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == AUST && var72 == KANG ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == URUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == DAIB && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == DAIC && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == DAID && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == DAIF && var72 == VRMI ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == EUOR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == M6 && var5 == CETI && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == FRAN && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == DOTO && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == ALLE && var72 == PARALL ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == AUTR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == BELG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == DANE && var72 == ODIN ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == ESPA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == FINL && var72 == ODIN ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == GRBR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == GREC && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == HOLL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == IRLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == ISLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == ITAL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == NORV && var72 == ODIN ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == PORT && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == SUED && var72 == ODIN ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == SUIS && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == YOUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == MAGH && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == TURQ && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == ARGE && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == BRES && var72 == PARBRE ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == CHIL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == COLO && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == AFSU && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == MARO && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == ISRA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == HONG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == TCHE && var72 == PARTCH ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == POLO && var72 == PARPOL ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == JAPO && var72 == FUJI ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == TAIW && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == AUST && var72 == KANG ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == URUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == DAIB && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == DAIC && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == DAID && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == DAIF && var72 == VRMI ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == EUOR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == M7 && var5 == CETI && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == FRAN && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == DOTO && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == ALLE && var72 == PARALL ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == AUTR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == BELG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == DANE && var72 == ODIN ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == ESPA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == FINL && var72 == ODIN ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == GRBR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == GREC && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == HOLL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == IRLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == ISLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == ITAL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == NORV && var72 == ODIN ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == PORT && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == SUED && var72 == ODIN ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == SUIS && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == YOUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == MAGH && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == TURQ && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == ARGE && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == BRES && var72 == PARBRE ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == CHIL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == COLO && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == AFSU && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == MARO && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == ISRA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == HONG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == TCHE && var72 == PARTCH ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == POLO && var72 == PARPOL ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == JAPO && var72 == FUJI ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == TAIW && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == AUST && var72 == KANG ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == URUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == DAIB && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == DAIC && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == DAID && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == DAIF && var72 == VRMI ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == EUOR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == M8 && var5 == CETI && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == FRAN && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == DOTO && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == ALLE && var72 == PARALL ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == AUTR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == BELG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == DANE && var72 == ODIN ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == ESPA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == FINL && var72 == ODIN ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == GRBR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == GREC && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == HOLL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == IRLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == ISLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == ITAL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == NORV && var72 == ODIN ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == PORT && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == SUED && var72 == ODIN ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == SUIS && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == YOUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == MAGH && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == TURQ && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == ARGE && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == BRES && var72 == PARBRE ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == CHIL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == COLO && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == AFSU && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == MARO && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == ISRA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == HONG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == TCHE && var72 == PARTCH ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == POLO && var72 == PARPOL ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == JAPO && var72 == FUJI ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == TAIW && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == AUST && var72 == KANG ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == URUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == DAIB && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == DAIC && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == DAID && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == DAIF && var72 == VRMI ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == EUOR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == M9 && var5 == CETI && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == FRAN && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == DOTO && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == ALLE && var72 == PARALL ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == AUTR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == BELG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == DANE && var72 == ODIN ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == ESPA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == FINL && var72 == ODIN ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == GRBR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == GREC && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == HOLL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == IRLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == ISLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == ITAL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == NORV && var72 == ODIN ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == PORT && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == SUED && var72 == ODIN ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == SUIS && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == YOUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == MAGH && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == TURQ && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == ARGE && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == BRES && var72 == PARBRE ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == CHIL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == COLO && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == AFSU && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == MARO && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == ISRA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == HONG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == TCHE && var72 == PARTCH ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == POLO && var72 == PARPOL ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == JAPO && var72 == FUJI ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == TAIW && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == AUST && var72 == KANG ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == URUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == DAIB && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == DAIC && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == DAID && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == DAIF && var72 == VRMI ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == EUOR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MA && var5 == CETI && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == FRAN && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == DOTO && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == ALLE && var72 == PARALL ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == AUTR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == BELG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == DANE && var72 == ODIN ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == ESPA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == FINL && var72 == ODIN ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == GRBR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == GREC && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == HOLL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == IRLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == ISLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == ITAL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == NORV && var72 == ODIN ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == PORT && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == SUED && var72 == ODIN ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == SUIS && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == YOUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == MAGH && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == TURQ && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == ARGE && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == BRES && var72 == PARBRE ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == CHIL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == COLO && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == AFSU && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == MARO && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == ISRA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == HONG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == TCHE && var72 == PARTCH ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == POLO && var72 == PARPOL ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == JAPO && var72 == FUJI ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == TAIW && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == AUST && var72 == KANG ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == URUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == DAIB && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == DAIC && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == DAID && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == DAIF && var72 == VRMI ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == EUOR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MB && var5 == CETI && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == FRAN && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == DOTO && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == ALLE && var72 == PARALL ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == AUTR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == BELG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == DANE && var72 == ODIN ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == ESPA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == FINL && var72 == ODIN ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == GRBR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == GREC && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == HOLL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == IRLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == ISLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == ITAL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == NORV && var72 == ODIN ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == PORT && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == SUED && var72 == ODIN ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == SUIS && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == YOUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == MAGH && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == TURQ && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == ARGE && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == BRES && var72 == PARBRE ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == CHIL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == COLO && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == AFSU && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == MARO && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == ISRA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == HONG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == TCHE && var72 == PARTCH ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == POLO && var72 == PARPOL ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == JAPO && var72 == FUJI ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == TAIW && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == AUST && var72 == KANG ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == URUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == DAIB && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == DAIC && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == DAID && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == DAIF && var72 == VRMI ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == EUOR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MC && var5 == CETI && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == FRAN && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == DOTO && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == ALLE && var72 == PARALL ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == AUTR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == BELG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == DANE && var72 == ODIN ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == ESPA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == FINL && var72 == ODIN ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == GRBR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == GREC && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == HOLL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == IRLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == ISLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == ITAL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == NORV && var72 == ODIN ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == PORT && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == SUED && var72 == ODIN ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == SUIS && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == YOUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == MAGH && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == TURQ && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == ARGE && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == BRES && var72 == PARBRE ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == CHIL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == COLO && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == AFSU && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == MARO && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == ISRA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == HONG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == TCHE && var72 == PARTCH ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == POLO && var72 == PARPOL ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == JAPO && var72 == FUJI ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == TAIW && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == AUST && var72 == KANG ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == URUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == DAIB && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == DAIC && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == DAID && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == DAIF && var72 == VRMI ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == EUOR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MD && var5 == CETI && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == FRAN && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == DOTO && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == ALLE && var72 == PARALL ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == AUTR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == BELG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == DANE && var72 == ODIN ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == ESPA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == FINL && var72 == ODIN ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == GRBR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == GREC && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == HOLL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == IRLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == ISLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == ITAL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == NORV && var72 == ODIN ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == PORT && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == SUED && var72 == ODIN ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == SUIS && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == YOUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == MAGH && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == TURQ && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == ARGE && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == BRES && var72 == PARBRE ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == CHIL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == COLO && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == AFSU && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == MARO && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == ISRA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == HONG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == TCHE && var72 == PARTCH ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == POLO && var72 == PARPOL ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == JAPO && var72 == FUJI ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == TAIW && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == AUST && var72 == KANG ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == URUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == DAIB && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == DAIC && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == DAID && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == DAIF && var72 == VRMI ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == EUOR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == ME && var5 == CETI && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == FRAN && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == DOTO && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == ALLE && var72 == PARALL ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == AUTR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == BELG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == DANE && var72 == ODIN ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == ESPA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == FINL && var72 == ODIN ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == GRBR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == GREC && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == HOLL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == IRLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == ISLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == ITAL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == NORV && var72 == ODIN ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == PORT && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == SUED && var72 == ODIN ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == SUIS && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == YOUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == MAGH && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == TURQ && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == ARGE && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == BRES && var72 == PARBRE ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == CHIL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == COLO && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == AFSU && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == MARO && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == ISRA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == HONG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == TCHE && var72 == PARTCH ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == POLO && var72 == PARPOL ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == JAPO && var72 == FUJI ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == TAIW && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == AUST && var72 == KANG ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == URUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == DAIB && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == DAIC && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == DAID && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == DAIF && var72 == VRMI ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == EUOR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MF && var5 == CETI && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == FRAN && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == DOTO && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == ALLE && var72 == PARALL ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == AUTR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == BELG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == DANE && var72 == ODIN ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == ESPA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == FINL && var72 == ODIN ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == GRBR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == GREC && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == HOLL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == IRLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == ISLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == ITAL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == NORV && var72 == ODIN ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == PORT && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == SUED && var72 == ODIN ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == SUIS && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == YOUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == MAGH && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == TURQ && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == ARGE && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == BRES && var72 == PARBRE ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == CHIL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == COLO && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == AFSU && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == MARO && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == ISRA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == HONG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == TCHE && var72 == PARTCH ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == POLO && var72 == PARPOL ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == JAPO && var72 == FUJI ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == TAIW && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == AUST && var72 == KANG ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == URUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == DAIB && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == DAIC && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == DAID && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == DAIF && var72 == VRMI ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == EUOR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MJ && var5 == CETI && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == FRAN && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == DOTO && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == ALLE && var72 == PARALL ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == AUTR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == BELG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == DANE && var72 == ODIN ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == ESPA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == FINL && var72 == ODIN ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == GRBR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == GREC && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == HOLL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == IRLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == ISLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == ITAL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == NORV && var72 == ODIN ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == PORT && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == SUED && var72 == ODIN ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == SUIS && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == YOUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == MAGH && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == TURQ && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == ARGE && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == BRES && var72 == PARBRE ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == CHIL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == COLO && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == AFSU && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == MARO && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == ISRA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == HONG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == TCHE && var72 == PARTCH ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == POLO && var72 == PARPOL ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == JAPO && var72 == FUJI ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == TAIW && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == AUST && var72 == KANG ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == URUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == DAIB && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == DAIC && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == DAID && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == DAIF && var72 == VRMI ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == EUOR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MK && var5 == CETI && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == FRAN && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == DOTO && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == ALLE && var72 == PARALL ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == AUTR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == BELG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == DANE && var72 == ODIN ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == ESPA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == FINL && var72 == ODIN ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == GRBR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == GREC && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == HOLL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == IRLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == ISLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == ITAL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == NORV && var72 == ODIN ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == PORT && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == SUED && var72 == ODIN ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == SUIS && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == YOUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == MAGH && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == TURQ && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == ARGE && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == BRES && var72 == PARBRE ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == CHIL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == COLO && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == AFSU && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == MARO && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == ISRA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == HONG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == TCHE && var72 == PARTCH ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == POLO && var72 == PARPOL ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == JAPO && var72 == FUJI ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == TAIW && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == AUST && var72 == KANG ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == URUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == DAIB && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == DAIC && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == DAID && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == DAIF && var72 == VRMI ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == EUOR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == ML && var5 == CETI && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == FRAN && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == DOTO && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == ALLE && var72 == PARALL ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == AUTR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == BELG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == DANE && var72 == ODIN ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == ESPA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == FINL && var72 == ODIN ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == GRBR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == GREC && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == HOLL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == IRLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == ISLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == ITAL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == NORV && var72 == ODIN ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == PORT && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == SUED && var72 == ODIN ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == SUIS && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == YOUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == MAGH && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == TURQ && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == ARGE && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == BRES && var72 == PARBRE ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == CHIL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == COLO && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == AFSU && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == MARO && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == ISRA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == HONG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == TCHE && var72 == PARTCH ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == POLO && var72 == PARPOL ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == JAPO && var72 == FUJI ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == TAIW && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == AUST && var72 == KANG ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == URUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == DAIB && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == DAIC && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == DAID && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == DAIF && var72 == VRMI ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == EUOR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MM && var5 == CETI && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == FRAN && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == DOTO && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == ALLE && var72 == PARALL ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == AUTR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == BELG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == DANE && var72 == ODIN ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == ESPA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == FINL && var72 == ODIN ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == GRBR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == GREC && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == HOLL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == IRLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == ISLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == ITAL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == NORV && var72 == ODIN ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == PORT && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == SUED && var72 == ODIN ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == SUIS && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == YOUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == MAGH && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == TURQ && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == ARGE && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == BRES && var72 == PARBRE ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == CHIL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == COLO && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == AFSU && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == MARO && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == ISRA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == HONG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == TCHE && var72 == PARTCH ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == POLO && var72 == PARPOL ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == JAPO && var72 == FUJI ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == TAIW && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == AUST && var72 == KANG ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == URUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == DAIB && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == DAIC && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == DAID && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == DAIF && var72 == VRMI ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == EUOR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MS && var5 == CETI && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == FRAN && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == DOTO && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == ALLE && var72 == PARALL ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == AUTR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == BELG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == DANE && var72 == ODIN ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == ESPA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == FINL && var72 == ODIN ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == GRBR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == GREC && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == HOLL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == IRLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == ISLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == ITAL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == NORV && var72 == ODIN ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == PORT && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == SUED && var72 == ODIN ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == SUIS && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == YOUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == MAGH && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == TURQ && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == ARGE && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == BRES && var72 == PARBRE ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == CHIL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == COLO && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == AFSU && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == MARO && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == ISRA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == HONG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == TCHE && var72 == PARTCH ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == POLO && var72 == PARPOL ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == JAPO && var72 == FUJI ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == TAIW && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == AUST && var72 == KANG ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == URUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == DAIB && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == DAIC && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == DAID && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == DAIF && var72 == VRMI ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == EUOR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MT && var5 == CETI && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == FRAN && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == DOTO && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == ALLE && var72 == PARALL ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == AUTR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == BELG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == DANE && var72 == ODIN ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == ESPA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == FINL && var72 == ODIN ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == GRBR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == GREC && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == HOLL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == IRLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == ISLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == ITAL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == NORV && var72 == ODIN ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == PORT && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == SUED && var72 == ODIN ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == SUIS && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == YOUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == MAGH && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == TURQ && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == ARGE && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == BRES && var72 == PARBRE ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == CHIL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == COLO && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == AFSU && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == MARO && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == ISRA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == HONG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == TCHE && var72 == PARTCH ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == POLO && var72 == PARPOL ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == JAPO && var72 == FUJI ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == TAIW && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == AUST && var72 == KANG ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == URUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == DAIB && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == DAIC && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == DAID && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == DAIF && var72 == VRMI ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == EUOR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MU && var5 == CETI && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == FRAN && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == DOTO && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == ALLE && var72 == PARALL ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == AUTR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == BELG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == DANE && var72 == ODIN ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == ESPA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == FINL && var72 == ODIN ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == GRBR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == GREC && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == HOLL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == IRLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == ISLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == ITAL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == NORV && var72 == ODIN ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == PORT && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == SUED && var72 == ODIN ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == SUIS && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == YOUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == MAGH && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == TURQ && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == ARGE && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == BRES && var72 == PARBRE ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == CHIL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == COLO && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == AFSU && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == MARO && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == ISRA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == HONG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == TCHE && var72 == PARTCH ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == POLO && var72 == PARPOL ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == JAPO && var72 == FUJI ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == TAIW && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == AUST && var72 == KANG ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == URUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == DAIB && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == DAIC && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == DAID && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == DAIF && var72 == VRMI ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == EUOR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MN && var5 == CETI && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == FRAN && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == DOTO && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == ALLE && var72 == PARALL ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == AUTR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == BELG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == DANE && var72 == ODIN ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == ESPA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == FINL && var72 == ODIN ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == GRBR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == GREC && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == HOLL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == IRLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == ISLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == ITAL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == NORV && var72 == ODIN ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == PORT && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == SUED && var72 == ODIN ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == SUIS && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == YOUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == MAGH && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == TURQ && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == ARGE && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == BRES && var72 == PARBRE ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == CHIL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == COLO && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == AFSU && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == MARO && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == ISRA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == HONG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == TCHE && var72 == PARTCH ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == POLO && var72 == PARPOL ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == JAPO && var72 == FUJI ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == TAIW && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == AUST && var72 == KANG ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == URUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == DAIB && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == DAIC && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == DAID && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == DAIF && var72 == VRMI ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == EUOR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MH && var5 == CETI && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == FRAN && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == DOTO && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == ALLE && var72 == PARALL ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == AUTR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == BELG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == DANE && var72 == ODIN ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == ESPA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == FINL && var72 == ODIN ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == GRBR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == GREC && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == HOLL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == IRLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == ISLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == ITAL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == NORV && var72 == ODIN ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == PORT && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == SUED && var72 == ODIN ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == SUIS && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == YOUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == MAGH && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == TURQ && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == ARGE && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == BRES && var72 == PARBRE ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == CHIL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == COLO && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == AFSU && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == MARO && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == ISRA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == HONG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == TCHE && var72 == PARTCH ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == POLO && var72 == PARPOL ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == JAPO && var72 == FUJI ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == TAIW && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == AUST && var72 == KANG ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == URUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == DAIB && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == DAIC && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == DAID && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == DAIF && var72 == VRMI ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == EUOR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MG && var5 == CETI && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == FRAN && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == DOTO && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == ALLE && var72 == PARALL ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == AUTR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == BELG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == DANE && var72 == ODIN ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == ESPA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == FINL && var72 == ODIN ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == GRBR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == GREC && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == HOLL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == IRLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == ISLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == ITAL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == NORV && var72 == ODIN ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == PORT && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == SUED && var72 == ODIN ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == SUIS && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == YOUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == MAGH && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == TURQ && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == ARGE && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == BRES && var72 == PARBRE ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == CHIL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == COLO && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == AFSU && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == MARO && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == ISRA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == HONG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == TCHE && var72 == PARTCH ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == POLO && var72 == PARPOL ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == JAPO && var72 == FUJI ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == TAIW && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == AUST && var72 == KANG ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == URUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == DAIB && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == DAIC && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == DAID && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == DAIF && var72 == VRMI ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == EUOR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == MY && var5 == CETI && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == FRAN && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == DOTO && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == ALLE && var72 == PARALL ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == AUTR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == BELG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == DANE && var72 == ODIN ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == ESPA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == FINL && var72 == ODIN ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == GRBR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == GREC && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == HOLL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == IRLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == ISLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == ITAL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == NORV && var72 == ODIN ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == PORT && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == SUED && var72 == ODIN ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == SUIS && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == YOUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == MAGH && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == TURQ && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == ARGE && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == BRES && var72 == PARBRE ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == CHIL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == COLO && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == AFSU && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == MARO && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == ISRA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == HONG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == TCHE && var72 == PARTCH ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == POLO && var72 == PARPOL ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == JAPO && var72 == FUJI ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == TAIW && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == AUST && var72 == KANG ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == URUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == DAIB && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == DAIC && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == DAID && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == DAIF && var72 == VRMI ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == EUOR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == NM2K && var5 == CETI && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == FRAN && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == DOTO && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == ALLE && var72 == PARALL ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == AUTR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == BELG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == DANE && var72 == ODIN ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == ESPA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == FINL && var72 == ODIN ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == GRBR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == GREC && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == HOLL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == IRLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == ISLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == ITAL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == NORV && var72 == ODIN ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == PORT && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == SUED && var72 == ODIN ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == SUIS && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == YOUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == MAGH && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == TURQ && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == ARGE && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == BRES && var72 == PARBRE ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == CHIL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == COLO && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == AFSU && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == MARO && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == ISRA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == HONG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == TCHE && var72 == PARTCH ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == POLO && var72 == PARPOL ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == JAPO && var72 == FUJI ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == TAIW && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == AUST && var72 == KANG ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == URUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == DAIB && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == DAIC && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == DAID && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == DAIF && var72 == VRMI ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == EUOR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == NM0C && var5 == CETI && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == FRAN && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == DOTO && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == ALLE && var72 == PARALL ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == AUTR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == BELG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == DANE && var72 == ODIN ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == ESPA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == FINL && var72 == ODIN ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == GRBR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == GREC && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == HOLL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == IRLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == ISLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == ITAL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == NORV && var72 == ODIN ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == PORT && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == SUED && var72 == ODIN ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == SUIS && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == YOUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == MAGH && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == TURQ && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == ARGE && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == BRES && var72 == PARBRE ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == CHIL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == COLO && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == AFSU && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == MARO && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == ISRA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == HONG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == TCHE && var72 == PARTCH ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == POLO && var72 == PARPOL ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == JAPO && var72 == FUJI ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == TAIW && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == AUST && var72 == KANG ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == URUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == DAIB && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == DAIC && var72 == DUCA ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == DAID && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == DAIF && var72 == VRMI ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == EUOR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E2 && var3 == ND1G && var5 == CETI && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == FRAN && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == DOTO && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == ALLE && var72 == PARALL ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == AUTR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == BELG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == DANE && var72 == ODIN ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == ESPA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == FINL && var72 == ODIN ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == GRBR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == GREC && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == HOLL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == IRLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == ISLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == ITAL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == NORV && var72 == ODIN ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == PORT && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == SUED && var72 == ODIN ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == SUIS && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == YOUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == MAGH && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == TURQ && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == ARGE && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == BRES && var72 == PARBRE ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == CHIL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == COLO && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == AFSU && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == MARO && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == ISRA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == HONG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == TCHE && var72 == PARTCH ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == POLO && var72 == PARPOL ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == JAPO && var72 == FUJI ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == TAIW && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == AUST && var72 == KANG ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == URUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == DAIB && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == DAIC && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == DAID && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == DAIF && var72 == VRMI ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == EUOR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == M5 && var5 == CETI && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == FRAN && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == DOTO && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == ALLE && var72 == PARALL ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == AUTR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == BELG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == DANE && var72 == ODIN ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == ESPA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == FINL && var72 == ODIN ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == GRBR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == GREC && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == HOLL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == IRLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == ISLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == ITAL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == NORV && var72 == ODIN ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == PORT && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == SUED && var72 == ODIN ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == SUIS && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == YOUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == MAGH && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == TURQ && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == ARGE && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == BRES && var72 == PARBRE ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == CHIL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == COLO && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == AFSU && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == MARO && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == ISRA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == HONG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == TCHE && var72 == PARTCH ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == POLO && var72 == PARPOL ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == JAPO && var72 == FUJI ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == TAIW && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == AUST && var72 == KANG ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == URUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == DAIB && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == DAIC && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == DAID && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == DAIF && var72 == VRMI ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == EUOR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == M6 && var5 == CETI && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == FRAN && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == DOTO && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == ALLE && var72 == PARALL ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == AUTR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == BELG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == DANE && var72 == ODIN ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == ESPA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == FINL && var72 == ODIN ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == GRBR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == GREC && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == HOLL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == IRLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == ISLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == ITAL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == NORV && var72 == ODIN ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == PORT && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == SUED && var72 == ODIN ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == SUIS && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == YOUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == MAGH && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == TURQ && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == ARGE && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == BRES && var72 == PARBRE ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == CHIL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == COLO && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == AFSU && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == MARO && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == ISRA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == HONG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == TCHE && var72 == PARTCH ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == POLO && var72 == PARPOL ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == JAPO && var72 == FUJI ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == TAIW && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == AUST && var72 == KANG ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == URUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == DAIB && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == DAIC && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == DAID && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == DAIF && var72 == VRMI ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == EUOR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == M7 && var5 == CETI && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == FRAN && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == DOTO && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == ALLE && var72 == PARALL ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == AUTR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == BELG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == DANE && var72 == ODIN ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == ESPA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == FINL && var72 == ODIN ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == GRBR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == GREC && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == HOLL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == IRLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == ISLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == ITAL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == NORV && var72 == ODIN ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == PORT && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == SUED && var72 == ODIN ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == SUIS && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == YOUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == MAGH && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == TURQ && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == ARGE && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == BRES && var72 == PARBRE ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == CHIL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == COLO && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == AFSU && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == MARO && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == ISRA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == HONG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == TCHE && var72 == PARTCH ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == POLO && var72 == PARPOL ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == JAPO && var72 == FUJI ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == TAIW && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == AUST && var72 == KANG ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == URUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == DAIB && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == DAIC && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == DAID && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == DAIF && var72 == VRMI ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == EUOR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == M8 && var5 == CETI && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == FRAN && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == DOTO && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == ALLE && var72 == PARALL ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == AUTR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == BELG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == DANE && var72 == ODIN ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == ESPA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == FINL && var72 == ODIN ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == GRBR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == GREC && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == HOLL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == IRLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == ISLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == ITAL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == NORV && var72 == ODIN ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == PORT && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == SUED && var72 == ODIN ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == SUIS && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == YOUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == MAGH && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == TURQ && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == ARGE && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == BRES && var72 == PARBRE ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == CHIL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == COLO && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == AFSU && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == MARO && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == ISRA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == HONG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == TCHE && var72 == PARTCH ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == POLO && var72 == PARPOL ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == JAPO && var72 == FUJI ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == TAIW && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == AUST && var72 == KANG ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == URUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == DAIB && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == DAIC && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == DAID && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == DAIF && var72 == VRMI ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == EUOR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == M9 && var5 == CETI && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == FRAN && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == DOTO && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == ALLE && var72 == PARALL ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == AUTR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == BELG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == DANE && var72 == ODIN ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == ESPA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == FINL && var72 == ODIN ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == GRBR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == GREC && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == HOLL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == IRLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == ISLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == ITAL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == NORV && var72 == ODIN ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == PORT && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == SUED && var72 == ODIN ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == SUIS && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == YOUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == MAGH && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == TURQ && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == ARGE && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == BRES && var72 == PARBRE ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == CHIL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == COLO && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == AFSU && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == MARO && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == ISRA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == HONG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == TCHE && var72 == PARTCH ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == POLO && var72 == PARPOL ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == JAPO && var72 == FUJI ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == TAIW && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == AUST && var72 == KANG ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == URUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == DAIB && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == DAIC && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == DAID && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == DAIF && var72 == VRMI ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == EUOR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MA && var5 == CETI && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == FRAN && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == DOTO && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == ALLE && var72 == PARALL ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == AUTR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == BELG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == DANE && var72 == ODIN ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == ESPA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == FINL && var72 == ODIN ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == GRBR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == GREC && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == HOLL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == IRLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == ISLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == ITAL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == NORV && var72 == ODIN ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == PORT && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == SUED && var72 == ODIN ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == SUIS && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == YOUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == MAGH && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == TURQ && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == ARGE && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == BRES && var72 == PARBRE ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == CHIL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == COLO && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == AFSU && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == MARO && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == ISRA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == HONG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == TCHE && var72 == PARTCH ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == POLO && var72 == PARPOL ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == JAPO && var72 == FUJI ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == TAIW && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == AUST && var72 == KANG ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == URUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == DAIB && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == DAIC && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == DAID && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == DAIF && var72 == VRMI ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == EUOR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MB && var5 == CETI && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == FRAN && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == DOTO && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == ALLE && var72 == PARALL ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == AUTR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == BELG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == DANE && var72 == ODIN ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == ESPA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == FINL && var72 == ODIN ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == GRBR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == GREC && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == HOLL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == IRLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == ISLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == ITAL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == NORV && var72 == ODIN ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == PORT && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == SUED && var72 == ODIN ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == SUIS && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == YOUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == MAGH && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == TURQ && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == ARGE && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == BRES && var72 == PARBRE ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == CHIL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == COLO && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == AFSU && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == MARO && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == ISRA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == HONG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == TCHE && var72 == PARTCH ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == POLO && var72 == PARPOL ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == JAPO && var72 == FUJI ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == TAIW && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == AUST && var72 == KANG ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == URUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == DAIB && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == DAIC && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == DAID && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == DAIF && var72 == VRMI ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == EUOR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MC && var5 == CETI && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == FRAN && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == DOTO && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == ALLE && var72 == PARALL ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == AUTR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == BELG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == DANE && var72 == ODIN ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == ESPA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == FINL && var72 == ODIN ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == GRBR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == GREC && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == HOLL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == IRLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == ISLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == ITAL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == NORV && var72 == ODIN ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == PORT && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == SUED && var72 == ODIN ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == SUIS && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == YOUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == MAGH && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == TURQ && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == ARGE && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == BRES && var72 == PARBRE ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == CHIL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == COLO && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == AFSU && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == MARO && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == ISRA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == HONG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == TCHE && var72 == PARTCH ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == POLO && var72 == PARPOL ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == JAPO && var72 == FUJI ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == TAIW && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == AUST && var72 == KANG ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == URUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == DAIB && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == DAIC && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == DAID && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == DAIF && var72 == VRMI ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == EUOR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MD && var5 == CETI && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == FRAN && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == DOTO && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == ALLE && var72 == PARALL ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == AUTR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == BELG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == DANE && var72 == ODIN ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == ESPA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == FINL && var72 == ODIN ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == GRBR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == GREC && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == HOLL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == IRLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == ISLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == ITAL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == NORV && var72 == ODIN ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == PORT && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == SUED && var72 == ODIN ) ) || ( ( var1 == L64 && var2 == E3 && var3 == ME && var5 == SUIS && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == YOUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == MAGH && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == TURQ && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == ARGE && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == BRES && var72 == PARBRE ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == CHIL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == COLO && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == AFSU && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == MARO && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == ISRA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == HONG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == TCHE && var72 == PARTCH ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == POLO && var72 == PARPOL ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == JAPO && var72 == FUJI ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == TAIW && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == AUST && var72 == KANG ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == URUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == DAIB && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == DAIC && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == DAID && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == DAIF && var72 == VRMI ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == EUOR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == ME && var5 == CETI && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == FRAN && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == DOTO && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == ALLE && var72 == PARALL ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == AUTR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == BELG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == DANE && var72 == ODIN ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == ESPA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == FINL && var72 == ODIN ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == GRBR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == GREC && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == HOLL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == IRLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == ISLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == ITAL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == NORV && var72 == ODIN ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == PORT && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == SUED && var72 == ODIN ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == SUIS && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == YOUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == MAGH && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == TURQ && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == ARGE && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == BRES && var72 == PARBRE ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == CHIL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == COLO && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == AFSU && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == MARO && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == ISRA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == HONG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == TCHE && var72 == PARTCH ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == POLO && var72 == PARPOL ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == JAPO && var72 == FUJI ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == TAIW && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == AUST && var72 == KANG ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == URUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == DAIB && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == DAIC && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == DAID && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == DAIF && var72 == VRMI ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == EUOR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MF && var5 == CETI && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == FRAN && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == DOTO && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == ALLE && var72 == PARALL ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == AUTR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == BELG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == DANE && var72 == ODIN ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == ESPA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == FINL && var72 == ODIN ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == GRBR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == GREC && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == HOLL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == IRLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == ISLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == ITAL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == NORV && var72 == ODIN ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == PORT && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == SUED && var72 == ODIN ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == SUIS && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == YOUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == MAGH && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == TURQ && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == ARGE && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == BRES && var72 == PARBRE ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == CHIL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == COLO && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == AFSU && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == MARO && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == ISRA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == HONG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == TCHE && var72 == PARTCH ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == POLO && var72 == PARPOL ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == JAPO && var72 == FUJI ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == TAIW && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == AUST && var72 == KANG ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == URUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == DAIB && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == DAIC && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == DAID && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == DAIF && var72 == VRMI ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == EUOR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MJ && var5 == CETI && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == FRAN && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == DOTO && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == ALLE && var72 == PARALL ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == AUTR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == BELG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == DANE && var72 == ODIN ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == ESPA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == FINL && var72 == ODIN ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == GRBR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == GREC && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == HOLL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == IRLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == ISLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == ITAL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == NORV && var72 == ODIN ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == PORT && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == SUED && var72 == ODIN ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == SUIS && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == YOUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == MAGH && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == TURQ && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == ARGE && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == BRES && var72 == PARBRE ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == CHIL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == COLO && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == AFSU && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == MARO && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == ISRA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == HONG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == TCHE && var72 == PARTCH ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == POLO && var72 == PARPOL ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == JAPO && var72 == FUJI ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == TAIW && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == AUST && var72 == KANG ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == URUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == DAIB && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == DAIC && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == DAID && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == DAIF && var72 == VRMI ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == EUOR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MK && var5 == CETI && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == FRAN && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == DOTO && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == ALLE && var72 == PARALL ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == AUTR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == BELG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == DANE && var72 == ODIN ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == ESPA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == FINL && var72 == ODIN ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == GRBR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == GREC && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == HOLL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == IRLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == ISLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == ITAL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == NORV && var72 == ODIN ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == PORT && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == SUED && var72 == ODIN ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == SUIS && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == YOUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == MAGH && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == TURQ && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == ARGE && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == BRES && var72 == PARBRE ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == CHIL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == COLO && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == AFSU && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == MARO && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == ISRA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == HONG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == TCHE && var72 == PARTCH ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == POLO && var72 == PARPOL ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == JAPO && var72 == FUJI ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == TAIW && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == AUST && var72 == KANG ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == URUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == DAIB && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == DAIC && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == DAID && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == DAIF && var72 == VRMI ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == EUOR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == ML && var5 == CETI && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == FRAN && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == DOTO && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == ALLE && var72 == PARALL ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == AUTR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == BELG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == DANE && var72 == ODIN ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == ESPA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == FINL && var72 == ODIN ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == GRBR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == GREC && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == HOLL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == IRLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == ISLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == ITAL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == NORV && var72 == ODIN ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == PORT && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == SUED && var72 == ODIN ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == SUIS && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == YOUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == MAGH && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == TURQ && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == ARGE && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == BRES && var72 == PARBRE ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == CHIL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == COLO && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == AFSU && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == MARO && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == ISRA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == HONG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == TCHE && var72 == PARTCH ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == POLO && var72 == PARPOL ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == JAPO && var72 == FUJI ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == TAIW && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == AUST && var72 == KANG ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == URUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == DAIB && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == DAIC && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == DAID && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == DAIF && var72 == VRMI ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == EUOR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MM && var5 == CETI && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == FRAN && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == DOTO && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == ALLE && var72 == PARALL ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == AUTR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == BELG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == DANE && var72 == ODIN ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == ESPA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == FINL && var72 == ODIN ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == GRBR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == GREC && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == HOLL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == IRLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == ISLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == ITAL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == NORV && var72 == ODIN ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == PORT && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == SUED && var72 == ODIN ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == SUIS && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == YOUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == MAGH && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == TURQ && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == ARGE && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == BRES && var72 == PARBRE ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == CHIL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == COLO && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == AFSU && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == MARO && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == ISRA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == HONG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == TCHE && var72 == PARTCH ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == POLO && var72 == PARPOL ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == JAPO && var72 == FUJI ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == TAIW && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == AUST && var72 == KANG ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == URUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == DAIB && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == DAIC && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == DAID && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == DAIF && var72 == VRMI ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == EUOR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MS && var5 == CETI && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == FRAN && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == DOTO && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == ALLE && var72 == PARALL ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == AUTR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == BELG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == DANE && var72 == ODIN ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == ESPA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == FINL && var72 == ODIN ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == GRBR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == GREC && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == HOLL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == IRLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == ISLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == ITAL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == NORV && var72 == ODIN ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == PORT && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == SUED && var72 == ODIN ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == SUIS && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == YOUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == MAGH && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == TURQ && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == ARGE && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == BRES && var72 == PARBRE ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == CHIL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == COLO && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == AFSU && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == MARO && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == ISRA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == HONG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == TCHE && var72 == PARTCH ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == POLO && var72 == PARPOL ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == JAPO && var72 == FUJI ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == TAIW && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == AUST && var72 == KANG ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == URUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == DAIB && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == DAIC && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == DAID && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == DAIF && var72 == VRMI ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == EUOR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MT && var5 == CETI && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == FRAN && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == DOTO && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == ALLE && var72 == PARALL ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == AUTR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == BELG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == DANE && var72 == ODIN ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == ESPA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == FINL && var72 == ODIN ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == GRBR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == GREC && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == HOLL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == IRLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == ISLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == ITAL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == NORV && var72 == ODIN ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == PORT && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == SUED && var72 == ODIN ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == SUIS && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == YOUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == MAGH && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == TURQ && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == ARGE && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == BRES && var72 == PARBRE ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == CHIL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == COLO && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == AFSU && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == MARO && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == ISRA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == HONG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == TCHE && var72 == PARTCH ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == POLO && var72 == PARPOL ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == JAPO && var72 == FUJI ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == TAIW && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == AUST && var72 == KANG ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == URUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == DAIB && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == DAIC && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == DAID && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == DAIF && var72 == VRMI ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == EUOR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MU && var5 == CETI && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == FRAN && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == DOTO && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == ALLE && var72 == PARALL ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == AUTR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == BELG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == DANE && var72 == ODIN ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == ESPA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == FINL && var72 == ODIN ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == GRBR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == GREC && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == HOLL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == IRLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == ISLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == ITAL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == NORV && var72 == ODIN ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == PORT && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == SUED && var72 == ODIN ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == SUIS && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == YOUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == MAGH && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == TURQ && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == ARGE && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == BRES && var72 == PARBRE ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == CHIL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == COLO && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == AFSU && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == MARO && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == ISRA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == HONG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == TCHE && var72 == PARTCH ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == POLO && var72 == PARPOL ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == JAPO && var72 == FUJI ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == TAIW && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == AUST && var72 == KANG ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == URUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == DAIB && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == DAIC && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == DAID && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == DAIF && var72 == VRMI ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == EUOR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MN && var5 == CETI && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == FRAN && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == DOTO && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == ALLE && var72 == PARALL ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == AUTR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == BELG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == DANE && var72 == ODIN ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == ESPA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == FINL && var72 == ODIN ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == GRBR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == GREC && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == HOLL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == IRLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == ISLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == ITAL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == NORV && var72 == ODIN ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == PORT && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == SUED && var72 == ODIN ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == SUIS && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == YOUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == MAGH && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == TURQ && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == ARGE && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == BRES && var72 == PARBRE ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == CHIL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == COLO && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == AFSU && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == MARO && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == ISRA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == HONG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == TCHE && var72 == PARTCH ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == POLO && var72 == PARPOL ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == JAPO && var72 == FUJI ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == TAIW && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == AUST && var72 == KANG ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == URUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == DAIB && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == DAIC && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == DAID && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == DAIF && var72 == VRMI ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == EUOR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MH && var5 == CETI && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == FRAN && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == DOTO && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == ALLE && var72 == PARALL ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == AUTR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == BELG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == DANE && var72 == ODIN ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == ESPA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == FINL && var72 == ODIN ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == GRBR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == GREC && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == HOLL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == IRLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == ISLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == ITAL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == NORV && var72 == ODIN ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == PORT && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == SUED && var72 == ODIN ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == SUIS && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == YOUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == MAGH && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == TURQ && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == ARGE && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == BRES && var72 == PARBRE ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == CHIL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == COLO && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == AFSU && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == MARO && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == ISRA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == HONG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == TCHE && var72 == PARTCH ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == POLO && var72 == PARPOL ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == JAPO && var72 == FUJI ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == TAIW && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == AUST && var72 == KANG ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == URUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == DAIB && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == DAIC && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == DAID && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == DAIF && var72 == VRMI ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == EUOR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MG && var5 == CETI && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == FRAN && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == DOTO && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == ALLE && var72 == PARALL ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == AUTR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == BELG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == DANE && var72 == ODIN ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == ESPA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == FINL && var72 == ODIN ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == GRBR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == GREC && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == HOLL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == IRLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == ISLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == ITAL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == NORV && var72 == ODIN ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == PORT && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == SUED && var72 == ODIN ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == SUIS && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == YOUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == MAGH && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == TURQ && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == ARGE && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == BRES && var72 == PARBRE ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == CHIL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == COLO && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == AFSU && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == MARO && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == ISRA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == HONG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == TCHE && var72 == PARTCH ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == POLO && var72 == PARPOL ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == JAPO && var72 == FUJI ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == TAIW && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == AUST && var72 == KANG ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == URUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == DAIB && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == DAIC && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == DAID && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == DAIF && var72 == VRMI ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == EUOR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == MY && var5 == CETI && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == FRAN && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == DOTO && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == ALLE && var72 == PARALL ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == AUTR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == BELG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == DANE && var72 == ODIN ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == ESPA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == FINL && var72 == ODIN ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == GRBR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == GREC && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == HOLL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == IRLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == ISLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == ITAL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == NORV && var72 == ODIN ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == PORT && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == SUED && var72 == ODIN ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == SUIS && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == YOUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == MAGH && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == TURQ && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == ARGE && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == BRES && var72 == PARBRE ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == CHIL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == COLO && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == AFSU && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == MARO && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == ISRA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == HONG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == TCHE && var72 == PARTCH ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == POLO && var72 == PARPOL ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == JAPO && var72 == FUJI ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == TAIW && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == AUST && var72 == KANG ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == URUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == DAIB && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == DAIC && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == DAID && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == DAIF && var72 == VRMI ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == EUOR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == NM2K && var5 == CETI && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == FRAN && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == DOTO && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == ALLE && var72 == PARALL ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == AUTR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == BELG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == DANE && var72 == ODIN ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == ESPA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == FINL && var72 == ODIN ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == GRBR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == GREC && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == HOLL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == IRLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == ISLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == ITAL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == NORV && var72 == ODIN ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == PORT && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == SUED && var72 == ODIN ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == SUIS && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == YOUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == MAGH && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == TURQ && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == ARGE && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == BRES && var72 == PARBRE ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == CHIL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == COLO && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == AFSU && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == MARO && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == ISRA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == HONG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == TCHE && var72 == PARTCH ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == POLO && var72 == PARPOL ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == JAPO && var72 == FUJI ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == TAIW && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == AUST && var72 == KANG ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == URUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == DAIB && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == DAIC && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == DAID && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == DAIF && var72 == VRMI ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == EUOR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == NM0C && var5 == CETI && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == FRAN && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == DOTO && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == ALLE && var72 == PARALL ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == AUTR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == BELG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == DANE && var72 == ODIN ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == ESPA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == FINL && var72 == ODIN ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == GRBR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == GREC && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == HOLL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == IRLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == ISLA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == ITAL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == NORV && var72 == ODIN ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == PORT && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == SUED && var72 == ODIN ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == SUIS && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == YOUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == MAGH && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == TURQ && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == ARGE && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == BRES && var72 == PARBRE ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == CHIL && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == COLO && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == AFSU && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == MARO && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == ISRA && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == HONG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == TCHE && var72 == PARTCH ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == POLO && var72 == PARPOL ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == JAPO && var72 == FUJI ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == TAIW && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == AUST && var72 == KANG ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == URUG && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == DAIB && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == DAIC && var72 == DUCA ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == DAID && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == DAIF && var72 == VRMI ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == EUOR && var72 == SSEDNC ) || ( var1 == L64 && var2 == E3 && var3 == ND1G && var5 == CETI && var72 == DUCA ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == FRAN && var72 == SSEDNC ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == DOTO && var72 == SSEDNC ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == ALLE && var72 == PARALL ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == AUTR && var72 == SSEDNC ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == BELG && var72 == SSEDNC ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == DANE && var72 == ODIN ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == ESPA && var72 == SSEDNC ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == FINL && var72 == ODIN ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == GRBR && var72 == SSEDNC ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == GREC && var72 == SSEDNC ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == HOLL && var72 == SSEDNC ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == IRLA && var72 == SSEDNC ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == ISLA && var72 == SSEDNC ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == ITAL && var72 == SSEDNC ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == NORV && var72 == ODIN ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == PORT && var72 == SSEDNC ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == SUED && var72 == ODIN ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == SUIS && var72 == SSEDNC ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == YOUG && var72 == SSEDNC ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == MAGH && var72 == DUCA ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == TURQ && var72 == DUCA ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == ARGE && var72 == DUCA ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == BRES && var72 == PARBRE ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == CHIL && var72 == SSEDNC ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == COLO && var72 == DUCA ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == AFSU && var72 == SSEDNC ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == MARO && var72 == DUCA ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == ISRA && var72 == SSEDNC ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == HONG && var72 == SSEDNC ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == TCHE && var72 == PARTCH ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == POLO && var72 == PARPOL ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == JAPO && var72 == FUJI ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == TAIW && var72 == SSEDNC ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == AUST && var72 == KANG ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == URUG && var72 == SSEDNC ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == DAIB && var72 == DUCA ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == DAIC && var72 == DUCA ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == DAID && var72 == SSEDNC ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == DAIF && var72 == VRMI ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == EUOR && var72 == SSEDNC ) || ( var1 == E64 && var2 == E0 && var3 == MB && var5 == CETI && var72 == DUCA ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == FRAN && var72 == SSEDNC ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == DOTO && var72 == SSEDNC ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == ALLE && var72 == PARALL ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == AUTR && var72 == SSEDNC ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == BELG && var72 == SSEDNC ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == DANE && var72 == ODIN ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == ESPA && var72 == SSEDNC ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == FINL && var72 == ODIN ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == GRBR && var72 == SSEDNC ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == GREC && var72 == SSEDNC ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == HOLL && var72 == SSEDNC ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == IRLA && var72 == SSEDNC ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == ISLA && var72 == SSEDNC ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == ITAL && var72 == SSEDNC ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == NORV && var72 == ODIN ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == PORT && var72 == SSEDNC ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == SUED && var72 == ODIN ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == SUIS && var72 == SSEDNC ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == YOUG && var72 == SSEDNC ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == MAGH && var72 == DUCA ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == TURQ && var72 == DUCA ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == ARGE && var72 == DUCA ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == BRES && var72 == PARBRE ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == CHIL && var72 == SSEDNC ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == COLO && var72 == DUCA ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == AFSU && var72 == SSEDNC ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == MARO && var72 == DUCA ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == ISRA && var72 == SSEDNC ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == HONG && var72 == SSEDNC ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == TCHE && var72 == PARTCH ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == POLO && var72 == PARPOL ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == JAPO && var72 == FUJI ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == TAIW && var72 == SSEDNC ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == AUST && var72 == KANG ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == URUG && var72 == SSEDNC ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == DAIB && var72 == DUCA ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == DAIC && var72 == DUCA ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == DAID && var72 == SSEDNC ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == DAIF && var72 == VRMI ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == EUOR && var72 == SSEDNC ) || ( var1 == E64 && var2 == E0 && var3 == MC && var5 == CETI && var72 == DUCA ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == FRAN && var72 == SSEDNC ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == DOTO && var72 == SSEDNC ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == ALLE && var72 == PARALL ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == AUTR && var72 == SSEDNC ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == BELG && var72 == SSEDNC ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == DANE && var72 == ODIN ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == ESPA && var72 == SSEDNC ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == FINL && var72 == ODIN ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == GRBR && var72 == SSEDNC ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == GREC && var72 == SSEDNC ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == HOLL && var72 == SSEDNC ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == IRLA && var72 == SSEDNC ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == ISLA && var72 == SSEDNC ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == ITAL && var72 == SSEDNC ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == NORV && var72 == ODIN ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == PORT && var72 == SSEDNC ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == SUED && var72 == ODIN ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == SUIS && var72 == SSEDNC ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == YOUG && var72 == SSEDNC ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == MAGH && var72 == DUCA ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == TURQ && var72 == DUCA ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == ARGE && var72 == DUCA ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == BRES && var72 == PARBRE ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == CHIL && var72 == SSEDNC ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == COLO && var72 == DUCA ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == AFSU && var72 == SSEDNC ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == MARO && var72 == DUCA ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == ISRA && var72 == SSEDNC ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == HONG && var72 == SSEDNC ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == TCHE && var72 == PARTCH ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == POLO && var72 == PARPOL ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == JAPO && var72 == FUJI ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == TAIW && var72 == SSEDNC ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == AUST && var72 == KANG ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == URUG && var72 == SSEDNC ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == DAIB && var72 == DUCA ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == DAIC && var72 == DUCA ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == DAID && var72 == SSEDNC ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == DAIF && var72 == VRMI ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == EUOR && var72 == SSEDNC ) || ( var1 == E64 && var2 == E0 && var3 == MF && var5 == CETI && var72 == DUCA ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == FRAN && var72 == SSEDNC ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == DOTO && var72 == SSEDNC ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == ALLE && var72 == PARALL ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == AUTR && var72 == SSEDNC ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == BELG && var72 == SSEDNC ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == DANE && var72 == ODIN ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == ESPA && var72 == SSEDNC ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == FINL && var72 == ODIN ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == GRBR && var72 == SSEDNC ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == GREC && var72 == SSEDNC ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == HOLL && var72 == SSEDNC ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == IRLA && var72 == SSEDNC ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == ISLA && var72 == SSEDNC ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == ITAL && var72 == SSEDNC ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == NORV && var72 == ODIN ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == PORT && var72 == SSEDNC ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == SUED && var72 == ODIN ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == SUIS && var72 == SSEDNC ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == YOUG && var72 == SSEDNC ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == MAGH && var72 == DUCA ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == TURQ && var72 == DUCA ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == ARGE && var72 == DUCA ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == BRES && var72 == PARBRE ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == CHIL && var72 == SSEDNC ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == COLO && var72 == DUCA ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == AFSU && var72 == SSEDNC ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == MARO && var72 == DUCA ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == ISRA && var72 == SSEDNC ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == HONG && var72 == SSEDNC ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == TCHE && var72 == PARTCH ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == POLO && var72 == PARPOL ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == JAPO && var72 == FUJI ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == TAIW && var72 == SSEDNC ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == AUST && var72 == KANG ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == URUG && var72 == SSEDNC ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == DAIB && var72 == DUCA ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == DAIC && var72 == DUCA ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == DAID && var72 == SSEDNC ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == DAIF && var72 == VRMI ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == EUOR && var72 == SSEDNC ) || ( var1 == E64 && var2 == E0 && var3 == MH && var5 == CETI && var72 == DUCA ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == FRAN && var72 == SSEDNC ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == DOTO && var72 == SSEDNC ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == ALLE && var72 == PARALL ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == AUTR && var72 == SSEDNC ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == BELG && var72 == SSEDNC ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == DANE && var72 == ODIN ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == ESPA && var72 == SSEDNC ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == FINL && var72 == ODIN ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == GRBR && var72 == SSEDNC ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == GREC && var72 == SSEDNC ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == HOLL && var72 == SSEDNC ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == IRLA && var72 == SSEDNC ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == ISLA && var72 == SSEDNC ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == ITAL && var72 == SSEDNC ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == NORV && var72 == ODIN ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == PORT && var72 == SSEDNC ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == SUED && var72 == ODIN ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == SUIS && var72 == SSEDNC ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == YOUG && var72 == SSEDNC ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == MAGH && var72 == DUCA ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == TURQ && var72 == DUCA ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == ARGE && var72 == DUCA ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == BRES && var72 == PARBRE ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == CHIL && var72 == SSEDNC ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == COLO && var72 == DUCA ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == AFSU && var72 == SSEDNC ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == MARO && var72 == DUCA ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == ISRA && var72 == SSEDNC ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == HONG && var72 == SSEDNC ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == TCHE && var72 == PARTCH ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == POLO && var72 == PARPOL ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == JAPO && var72 == FUJI ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == TAIW && var72 == SSEDNC ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == AUST && var72 == KANG ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == URUG && var72 == SSEDNC ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == DAIB && var72 == DUCA ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == DAIC && var72 == DUCA ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == DAID && var72 == SSEDNC ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == DAIF && var72 == VRMI ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == EUOR && var72 == SSEDNC ) || ( var1 == E64 && var2 == E0 && var3 == MG && var5 == CETI && var72 == DUCA ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == FRAN && var72 == SSEDNC ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == DOTO && var72 == SSEDNC ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == ALLE && var72 == PARALL ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == AUTR && var72 == SSEDNC ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == BELG && var72 == SSEDNC ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == DANE && var72 == ODIN ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == ESPA && var72 == SSEDNC ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == FINL && var72 == ODIN ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == GRBR && var72 == SSEDNC ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == GREC && var72 == SSEDNC ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == HOLL && var72 == SSEDNC ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == IRLA && var72 == SSEDNC ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == ISLA && var72 == SSEDNC ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == ITAL && var72 == SSEDNC ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == NORV && var72 == ODIN ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == PORT && var72 == SSEDNC ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == SUED && var72 == ODIN ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == SUIS && var72 == SSEDNC ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == YOUG && var72 == SSEDNC ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == MAGH && var72 == DUCA ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == TURQ && var72 == DUCA ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == ARGE && var72 == DUCA ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == BRES && var72 == PARBRE ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == CHIL && var72 == SSEDNC ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == COLO && var72 == DUCA ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == AFSU && var72 == SSEDNC ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == MARO && var72 == DUCA ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == ISRA && var72 == SSEDNC ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == HONG && var72 == SSEDNC ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == TCHE && var72 == PARTCH ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == POLO && var72 == PARPOL ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == JAPO && var72 == FUJI ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == TAIW && var72 == SSEDNC ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == AUST && var72 == KANG ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == URUG && var72 == SSEDNC ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == DAIB && var72 == DUCA ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == DAIC && var72 == DUCA ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == DAID && var72 == SSEDNC ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == DAIF && var72 == VRMI ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == EUOR && var72 == SSEDNC ) || ( var1 == E64 && var2 == E0 && var3 == NM2K && var5 == CETI && var72 == DUCA ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == FRAN && var72 == SSEDNC ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == DOTO && var72 == SSEDNC ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == ALLE && var72 == PARALL ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == AUTR && var72 == SSEDNC ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == BELG && var72 == SSEDNC ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == DANE && var72 == ODIN ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == ESPA && var72 == SSEDNC ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == FINL && var72 == ODIN ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == GRBR && var72 == SSEDNC ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == GREC && var72 == SSEDNC ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == HOLL && var72 == SSEDNC ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == IRLA && var72 == SSEDNC ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == ISLA && var72 == SSEDNC ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == ITAL && var72 == SSEDNC ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == NORV && var72 == ODIN ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == PORT && var72 == SSEDNC ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == SUED && var72 == ODIN ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == SUIS && var72 == SSEDNC ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == YOUG && var72 == SSEDNC ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == MAGH && var72 == DUCA ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == TURQ && var72 == DUCA ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == ARGE && var72 == DUCA ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == BRES && var72 == PARBRE ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == CHIL && var72 == SSEDNC ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == COLO && var72 == DUCA ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == AFSU && var72 == SSEDNC ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == MARO && var72 == DUCA ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == ISRA && var72 == SSEDNC ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == HONG && var72 == SSEDNC ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == TCHE && var72 == PARTCH ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == POLO && var72 == PARPOL ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == JAPO && var72 == FUJI ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == TAIW && var72 == SSEDNC ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == AUST && var72 == KANG ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == URUG && var72 == SSEDNC ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == DAIB && var72 == DUCA ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == DAIC && var72 == DUCA ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == DAID && var72 == SSEDNC ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == DAIF && var72 == VRMI ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == EUOR && var72 == SSEDNC ) || ( var1 == E64 && var2 == E1 && var3 == MB && var5 == CETI && var72 == DUCA ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == FRAN && var72 == SSEDNC ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == DOTO && var72 == SSEDNC ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == ALLE && var72 == PARALL ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == AUTR && var72 == SSEDNC ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == BELG && var72 == SSEDNC ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == DANE && var72 == ODIN ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == ESPA && var72 == SSEDNC ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == FINL && var72 == ODIN ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == GRBR && var72 == SSEDNC ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == GREC && var72 == SSEDNC ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == HOLL && var72 == SSEDNC ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == IRLA && var72 == SSEDNC ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == ISLA && var72 == SSEDNC ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == ITAL && var72 == SSEDNC ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == NORV && var72 == ODIN ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == PORT && var72 == SSEDNC ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == SUED && var72 == ODIN ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == SUIS && var72 == SSEDNC ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == YOUG && var72 == SSEDNC ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == MAGH && var72 == DUCA ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == TURQ && var72 == DUCA ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == ARGE && var72 == DUCA ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == BRES && var72 == PARBRE ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == CHIL && var72 == SSEDNC ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == COLO && var72 == DUCA ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == AFSU && var72 == SSEDNC ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == MARO && var72 == DUCA ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == ISRA && var72 == SSEDNC ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == HONG && var72 == SSEDNC ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == TCHE && var72 == PARTCH ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == POLO && var72 == PARPOL ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == JAPO && var72 == FUJI ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == TAIW && var72 == SSEDNC ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == AUST && var72 == KANG ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == URUG && var72 == SSEDNC ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == DAIB && var72 == DUCA ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == DAIC && var72 == DUCA ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == DAID && var72 == SSEDNC ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == DAIF && var72 == VRMI ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == EUOR && var72 == SSEDNC ) || ( var1 == E64 && var2 == E1 && var3 == MC && var5 == CETI && var72 == DUCA ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == FRAN && var72 == SSEDNC ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == DOTO && var72 == SSEDNC ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == ALLE && var72 == PARALL ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == AUTR && var72 == SSEDNC ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == BELG && var72 == SSEDNC ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == DANE && var72 == ODIN ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == ESPA && var72 == SSEDNC ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == FINL && var72 == ODIN ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == GRBR && var72 == SSEDNC ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == GREC && var72 == SSEDNC ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == HOLL && var72 == SSEDNC ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == IRLA && var72 == SSEDNC ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == ISLA && var72 == SSEDNC ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == ITAL && var72 == SSEDNC ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == NORV && var72 == ODIN ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == PORT && var72 == SSEDNC ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == SUED && var72 == ODIN ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == SUIS && var72 == SSEDNC ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == YOUG && var72 == SSEDNC ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == MAGH && var72 == DUCA ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == TURQ && var72 == DUCA ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == ARGE && var72 == DUCA ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == BRES && var72 == PARBRE ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == CHIL && var72 == SSEDNC ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == COLO && var72 == DUCA ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == AFSU && var72 == SSEDNC ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == MARO && var72 == DUCA ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == ISRA && var72 == SSEDNC ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == HONG && var72 == SSEDNC ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == TCHE && var72 == PARTCH ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == POLO && var72 == PARPOL ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == JAPO && var72 == FUJI ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == TAIW && var72 == SSEDNC ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == AUST && var72 == KANG ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == URUG && var72 == SSEDNC ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == DAIB && var72 == DUCA ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == DAIC && var72 == DUCA ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == DAID && var72 == SSEDNC ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == DAIF && var72 == VRMI ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == EUOR && var72 == SSEDNC ) || ( var1 == E64 && var2 == E1 && var3 == MF && var5 == CETI && var72 == DUCA ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == FRAN && var72 == SSEDNC ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == DOTO && var72 == SSEDNC ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == ALLE && var72 == PARALL ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == AUTR && var72 == SSEDNC ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == BELG && var72 == SSEDNC ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == DANE && var72 == ODIN ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == ESPA && var72 == SSEDNC ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == FINL && var72 == ODIN ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == GRBR && var72 == SSEDNC ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == GREC && var72 == SSEDNC ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == HOLL && var72 == SSEDNC ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == IRLA && var72 == SSEDNC ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == ISLA && var72 == SSEDNC ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == ITAL && var72 == SSEDNC ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == NORV && var72 == ODIN ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == PORT && var72 == SSEDNC ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == SUED && var72 == ODIN ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == SUIS && var72 == SSEDNC ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == YOUG && var72 == SSEDNC ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == MAGH && var72 == DUCA ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == TURQ && var72 == DUCA ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == ARGE && var72 == DUCA ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == BRES && var72 == PARBRE ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == CHIL && var72 == SSEDNC ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == COLO && var72 == DUCA ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == AFSU && var72 == SSEDNC ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == MARO && var72 == DUCA ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == ISRA && var72 == SSEDNC ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == HONG && var72 == SSEDNC ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == TCHE && var72 == PARTCH ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == POLO && var72 == PARPOL ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == JAPO && var72 == FUJI ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == TAIW && var72 == SSEDNC ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == AUST && var72 == KANG ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == URUG && var72 == SSEDNC ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == DAIB && var72 == DUCA ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == DAIC && var72 == DUCA ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == DAID && var72 == SSEDNC ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == DAIF && var72 == VRMI ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == EUOR && var72 == SSEDNC ) || ( var1 == E64 && var2 == E1 && var3 == MH && var5 == CETI && var72 == DUCA ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == FRAN && var72 == SSEDNC ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == DOTO && var72 == SSEDNC ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == ALLE && var72 == PARALL ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == AUTR && var72 == SSEDNC ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == BELG && var72 == SSEDNC ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == DANE && var72 == ODIN ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == ESPA && var72 == SSEDNC ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == FINL && var72 == ODIN ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == GRBR && var72 == SSEDNC ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == GREC && var72 == SSEDNC ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == HOLL && var72 == SSEDNC ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == IRLA && var72 == SSEDNC ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == ISLA && var72 == SSEDNC ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == ITAL && var72 == SSEDNC ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == NORV && var72 == ODIN ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == PORT && var72 == SSEDNC ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == SUED && var72 == ODIN ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == SUIS && var72 == SSEDNC ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == YOUG && var72 == SSEDNC ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == MAGH && var72 == DUCA ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == TURQ && var72 == DUCA ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == ARGE && var72 == DUCA ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == BRES && var72 == PARBRE ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == CHIL && var72 == SSEDNC ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == COLO && var72 == DUCA ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == AFSU && var72 == SSEDNC ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == MARO && var72 == DUCA ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == ISRA && var72 == SSEDNC ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == HONG && var72 == SSEDNC ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == TCHE && var72 == PARTCH ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == POLO && var72 == PARPOL ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == JAPO && var72 == FUJI ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == TAIW && var72 == SSEDNC ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == AUST && var72 == KANG ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == URUG && var72 == SSEDNC ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == DAIB && var72 == DUCA ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == DAIC && var72 == DUCA ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == DAID && var72 == SSEDNC ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == DAIF && var72 == VRMI ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == EUOR && var72 == SSEDNC ) || ( var1 == E64 && var2 == E1 && var3 == MG && var5 == CETI && var72 == DUCA ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == FRAN && var72 == SSEDNC ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == DOTO && var72 == SSEDNC ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == ALLE && var72 == PARALL ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == AUTR && var72 == SSEDNC ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == BELG && var72 == SSEDNC ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == DANE && var72 == ODIN ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == ESPA && var72 == SSEDNC ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == FINL && var72 == ODIN ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == GRBR && var72 == SSEDNC ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == GREC && var72 == SSEDNC ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == HOLL && var72 == SSEDNC ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == IRLA && var72 == SSEDNC ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == ISLA && var72 == SSEDNC ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == ITAL && var72 == SSEDNC ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == NORV && var72 == ODIN ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == PORT && var72 == SSEDNC ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == SUED && var72 == ODIN ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == SUIS && var72 == SSEDNC ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == YOUG && var72 == SSEDNC ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == MAGH && var72 == DUCA ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == TURQ && var72 == DUCA ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == ARGE && var72 == DUCA ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == BRES && var72 == PARBRE ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == CHIL && var72 == SSEDNC ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == COLO && var72 == DUCA ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == AFSU && var72 == SSEDNC ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == MARO && var72 == DUCA ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == ISRA && var72 == SSEDNC ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == HONG && var72 == SSEDNC ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == TCHE && var72 == PARTCH ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == POLO && var72 == PARPOL ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == JAPO && var72 == FUJI ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == TAIW && var72 == SSEDNC ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == AUST && var72 == KANG ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == URUG && var72 == SSEDNC ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == DAIB && var72 == DUCA ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == DAIC && var72 == DUCA ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == DAID && var72 == SSEDNC ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == DAIF && var72 == VRMI ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == EUOR && var72 == SSEDNC ) || ( var1 == E64 && var2 == E1 && var3 == NM2K && var5 == CETI && var72 == DUCA ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == FRAN && var72 == SSEDNC ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == DOTO && var72 == SSEDNC ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == ALLE && var72 == PARALL ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == AUTR && var72 == SSEDNC ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == BELG && var72 == SSEDNC ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == DANE && var72 == ODIN ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == ESPA && var72 == SSEDNC ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == FINL && var72 == ODIN ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == GRBR && var72 == SSEDNC ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == GREC && var72 == SSEDNC ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == HOLL && var72 == SSEDNC ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == IRLA && var72 == SSEDNC ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == ISLA && var72 == SSEDNC ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == ITAL && var72 == SSEDNC ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == NORV && var72 == ODIN ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == PORT && var72 == SSEDNC ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == SUED && var72 == ODIN ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == SUIS && var72 == SSEDNC ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == YOUG && var72 == SSEDNC ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == MAGH && var72 == DUCA ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == TURQ && var72 == DUCA ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == ARGE && var72 == DUCA ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == BRES && var72 == PARBRE ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == CHIL && var72 == SSEDNC ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == COLO && var72 == DUCA ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == AFSU && var72 == SSEDNC ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == MARO && var72 == DUCA ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == ISRA && var72 == SSEDNC ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == HONG && var72 == SSEDNC ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == TCHE && var72 == PARTCH ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == POLO && var72 == PARPOL ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == JAPO && var72 == FUJI ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == TAIW && var72 == SSEDNC ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == AUST && var72 == KANG ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == URUG && var72 == SSEDNC ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == DAIB && var72 == DUCA ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == DAIC && var72 == DUCA ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == DAID && var72 == SSEDNC ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == DAIF && var72 == VRMI ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == EUOR && var72 == SSEDNC ) || ( var1 == E64 && var2 == E2 && var3 == MB && var5 == CETI && var72 == DUCA ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == FRAN && var72 == SSEDNC ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == DOTO && var72 == SSEDNC ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == ALLE && var72 == PARALL ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == AUTR && var72 == SSEDNC ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == BELG && var72 == SSEDNC ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == DANE && var72 == ODIN ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == ESPA && var72 == SSEDNC ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == FINL && var72 == ODIN ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == GRBR && var72 == SSEDNC ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == GREC && var72 == SSEDNC ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == HOLL && var72 == SSEDNC ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == IRLA && var72 == SSEDNC ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == ISLA && var72 == SSEDNC ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == ITAL && var72 == SSEDNC ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == NORV && var72 == ODIN ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == PORT && var72 == SSEDNC ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == SUED && var72 == ODIN ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == SUIS && var72 == SSEDNC ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == YOUG && var72 == SSEDNC ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == MAGH && var72 == DUCA ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == TURQ && var72 == DUCA ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == ARGE && var72 == DUCA ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == BRES && var72 == PARBRE ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == CHIL && var72 == SSEDNC ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == COLO && var72 == DUCA ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == AFSU && var72 == SSEDNC ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == MARO && var72 == DUCA ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == ISRA && var72 == SSEDNC ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == HONG && var72 == SSEDNC ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == TCHE && var72 == PARTCH ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == POLO && var72 == PARPOL ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == JAPO && var72 == FUJI ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == TAIW && var72 == SSEDNC ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == AUST && var72 == KANG ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == URUG && var72 == SSEDNC ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == DAIB && var72 == DUCA ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == DAIC && var72 == DUCA ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == DAID && var72 == SSEDNC ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == DAIF && var72 == VRMI ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == EUOR && var72 == SSEDNC ) || ( var1 == E64 && var2 == E2 && var3 == MC && var5 == CETI && var72 == DUCA ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == FRAN && var72 == SSEDNC ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == DOTO && var72 == SSEDNC ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == ALLE && var72 == PARALL ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == AUTR && var72 == SSEDNC ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == BELG && var72 == SSEDNC ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == DANE && var72 == ODIN ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == ESPA && var72 == SSEDNC ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == FINL && var72 == ODIN ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == GRBR && var72 == SSEDNC ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == GREC && var72 == SSEDNC ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == HOLL && var72 == SSEDNC ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == IRLA && var72 == SSEDNC ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == ISLA && var72 == SSEDNC ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == ITAL && var72 == SSEDNC ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == NORV && var72 == ODIN ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == PORT && var72 == SSEDNC ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == SUED && var72 == ODIN ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == SUIS && var72 == SSEDNC ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == YOUG && var72 == SSEDNC ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == MAGH && var72 == DUCA ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == TURQ && var72 == DUCA ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == ARGE && var72 == DUCA ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == BRES && var72 == PARBRE ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == CHIL && var72 == SSEDNC ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == COLO && var72 == DUCA ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == AFSU && var72 == SSEDNC ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == MARO && var72 == DUCA ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == ISRA && var72 == SSEDNC ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == HONG && var72 == SSEDNC ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == TCHE && var72 == PARTCH ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == POLO && var72 == PARPOL ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == JAPO && var72 == FUJI ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == TAIW && var72 == SSEDNC ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == AUST && var72 == KANG ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == URUG && var72 == SSEDNC ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == DAIB && var72 == DUCA ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == DAIC && var72 == DUCA ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == DAID && var72 == SSEDNC ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == DAIF && var72 == VRMI ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == EUOR && var72 == SSEDNC ) || ( var1 == E64 && var2 == E2 && var3 == MF && var5 == CETI && var72 == DUCA ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == FRAN && var72 == SSEDNC ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == DOTO && var72 == SSEDNC ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == ALLE && var72 == PARALL ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == AUTR && var72 == SSEDNC ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == BELG && var72 == SSEDNC ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == DANE && var72 == ODIN ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == ESPA && var72 == SSEDNC ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == FINL && var72 == ODIN ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == GRBR && var72 == SSEDNC ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == GREC && var72 == SSEDNC ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == HOLL && var72 == SSEDNC ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == IRLA && var72 == SSEDNC ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == ISLA && var72 == SSEDNC ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == ITAL && var72 == SSEDNC ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == NORV && var72 == ODIN ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == PORT && var72 == SSEDNC ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == SUED && var72 == ODIN ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == SUIS && var72 == SSEDNC ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == YOUG && var72 == SSEDNC ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == MAGH && var72 == DUCA ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == TURQ && var72 == DUCA ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == ARGE && var72 == DUCA ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == BRES && var72 == PARBRE ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == CHIL && var72 == SSEDNC ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == COLO && var72 == DUCA ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == AFSU && var72 == SSEDNC ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == MARO && var72 == DUCA ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == ISRA && var72 == SSEDNC ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == HONG && var72 == SSEDNC ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == TCHE && var72 == PARTCH ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == POLO && var72 == PARPOL ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == JAPO && var72 == FUJI ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == TAIW && var72 == SSEDNC ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == AUST && var72 == KANG ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == URUG && var72 == SSEDNC ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == DAIB && var72 == DUCA ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == DAIC && var72 == DUCA ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == DAID && var72 == SSEDNC ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == DAIF && var72 == VRMI ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == EUOR && var72 == SSEDNC ) || ( var1 == E64 && var2 == E2 && var3 == MH && var5 == CETI && var72 == DUCA ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == FRAN && var72 == SSEDNC ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == DOTO && var72 == SSEDNC ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == ALLE && var72 == PARALL ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == AUTR && var72 == SSEDNC ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == BELG && var72 == SSEDNC ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == DANE && var72 == ODIN ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == ESPA && var72 == SSEDNC ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == FINL && var72 == ODIN ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == GRBR && var72 == SSEDNC ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == GREC && var72 == SSEDNC ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == HOLL && var72 == SSEDNC ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == IRLA && var72 == SSEDNC ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == ISLA && var72 == SSEDNC ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == ITAL && var72 == SSEDNC ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == NORV && var72 == ODIN ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == PORT && var72 == SSEDNC ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == SUED && var72 == ODIN ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == SUIS && var72 == SSEDNC ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == YOUG && var72 == SSEDNC ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == MAGH && var72 == DUCA ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == TURQ && var72 == DUCA ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == ARGE && var72 == DUCA ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == BRES && var72 == PARBRE ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == CHIL && var72 == SSEDNC ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == COLO && var72 == DUCA ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == AFSU && var72 == SSEDNC ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == MARO && var72 == DUCA ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == ISRA && var72 == SSEDNC ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == HONG && var72 == SSEDNC ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == TCHE && var72 == PARTCH ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == POLO && var72 == PARPOL ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == JAPO && var72 == FUJI ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == TAIW && var72 == SSEDNC ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == AUST && var72 == KANG ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == URUG && var72 == SSEDNC ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == DAIB && var72 == DUCA ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == DAIC && var72 == DUCA ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == DAID && var72 == SSEDNC ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == DAIF && var72 == VRMI ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == EUOR && var72 == SSEDNC ) || ( var1 == E64 && var2 == E2 && var3 == MG && var5 == CETI && var72 == DUCA ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == FRAN && var72 == SSEDNC ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == DOTO && var72 == SSEDNC ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == ALLE && var72 == PARALL ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == AUTR && var72 == SSEDNC ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == BELG && var72 == SSEDNC ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == DANE && var72 == ODIN ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == ESPA && var72 == SSEDNC ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == FINL && var72 == ODIN ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == GRBR && var72 == SSEDNC ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == GREC && var72 == SSEDNC ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == HOLL && var72 == SSEDNC ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == IRLA && var72 == SSEDNC ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == ISLA && var72 == SSEDNC ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == ITAL && var72 == SSEDNC ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == NORV && var72 == ODIN ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == PORT && var72 == SSEDNC ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == SUED && var72 == ODIN ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == SUIS && var72 == SSEDNC ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == YOUG && var72 == SSEDNC ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == MAGH && var72 == DUCA ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == TURQ && var72 == DUCA ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == ARGE && var72 == DUCA ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == BRES && var72 == PARBRE ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == CHIL && var72 == SSEDNC ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == COLO && var72 == DUCA ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == AFSU && var72 == SSEDNC ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == MARO && var72 == DUCA ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == ISRA && var72 == SSEDNC ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == HONG && var72 == SSEDNC ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == TCHE && var72 == PARTCH ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == POLO && var72 == PARPOL ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == JAPO && var72 == FUJI ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == TAIW && var72 == SSEDNC ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == AUST && var72 == KANG ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == URUG && var72 == SSEDNC ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == DAIB && var72 == DUCA ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == DAIC && var72 == DUCA ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == DAID && var72 == SSEDNC ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == DAIF && var72 == VRMI ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == EUOR && var72 == SSEDNC ) || ( var1 == E64 && var2 == E2 && var3 == NM2K && var5 == CETI && var72 == DUCA ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == FRAN && var72 == SSEDNC ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == DOTO && var72 == SSEDNC ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == ALLE && var72 == PARALL ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == AUTR && var72 == SSEDNC ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == BELG && var72 == SSEDNC ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == DANE && var72 == ODIN ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == ESPA && var72 == SSEDNC ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == FINL && var72 == ODIN ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == GRBR && var72 == SSEDNC ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == GREC && var72 == SSEDNC ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == HOLL && var72 == SSEDNC ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == IRLA && var72 == SSEDNC ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == ISLA && var72 == SSEDNC ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == ITAL && var72 == SSEDNC ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == NORV && var72 == ODIN ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == PORT && var72 == SSEDNC ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == SUED && var72 == ODIN ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == SUIS && var72 == SSEDNC ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == YOUG && var72 == SSEDNC ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == MAGH && var72 == DUCA ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == TURQ && var72 == DUCA ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == ARGE && var72 == DUCA ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == BRES && var72 == PARBRE ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == CHIL && var72 == SSEDNC ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == COLO && var72 == DUCA ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == AFSU && var72 == SSEDNC ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == MARO && var72 == DUCA ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == ISRA && var72 == SSEDNC ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == HONG && var72 == SSEDNC ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == TCHE && var72 == PARTCH ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == POLO && var72 == PARPOL ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == JAPO && var72 == FUJI ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == TAIW && var72 == SSEDNC ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == AUST && var72 == KANG ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == URUG && var72 == SSEDNC ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == DAIB && var72 == DUCA ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == DAIC && var72 == DUCA ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == DAID && var72 == SSEDNC ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == DAIF && var72 == VRMI ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == EUOR && var72 == SSEDNC ) || ( var1 == E64 && var2 == E3 && var3 == MB && var5 == CETI && var72 == DUCA ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == FRAN && var72 == SSEDNC ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == DOTO && var72 == SSEDNC ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == ALLE && var72 == PARALL ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == AUTR && var72 == SSEDNC ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == BELG && var72 == SSEDNC ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == DANE && var72 == ODIN ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == ESPA && var72 == SSEDNC ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == FINL && var72 == ODIN ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == GRBR && var72 == SSEDNC ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == GREC && var72 == SSEDNC ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == HOLL && var72 == SSEDNC ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == IRLA && var72 == SSEDNC ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == ISLA && var72 == SSEDNC ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == ITAL && var72 == SSEDNC ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == NORV && var72 == ODIN ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == PORT && var72 == SSEDNC ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == SUED && var72 == ODIN ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == SUIS && var72 == SSEDNC ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == YOUG && var72 == SSEDNC ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == MAGH && var72 == DUCA ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == TURQ && var72 == DUCA ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == ARGE && var72 == DUCA ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == BRES && var72 == PARBRE ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == CHIL && var72 == SSEDNC ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == COLO && var72 == DUCA ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == AFSU && var72 == SSEDNC ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == MARO && var72 == DUCA ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == ISRA && var72 == SSEDNC ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == HONG && var72 == SSEDNC ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == TCHE && var72 == PARTCH ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == POLO && var72 == PARPOL ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == JAPO && var72 == FUJI ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == TAIW && var72 == SSEDNC ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == AUST && var72 == KANG ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == URUG && var72 == SSEDNC ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == DAIB && var72 == DUCA ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == DAIC && var72 == DUCA ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == DAID && var72 == SSEDNC ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == DAIF && var72 == VRMI ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == EUOR && var72 == SSEDNC ) || ( var1 == E64 && var2 == E3 && var3 == MC && var5 == CETI && var72 == DUCA ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == FRAN && var72 == SSEDNC ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == DOTO && var72 == SSEDNC ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == ALLE && var72 == PARALL ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == AUTR && var72 == SSEDNC ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == BELG && var72 == SSEDNC ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == DANE && var72 == ODIN ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == ESPA && var72 == SSEDNC ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == FINL && var72 == ODIN ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == GRBR && var72 == SSEDNC ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == GREC && var72 == SSEDNC ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == HOLL && var72 == SSEDNC ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == IRLA && var72 == SSEDNC ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == ISLA && var72 == SSEDNC ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == ITAL && var72 == SSEDNC ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == NORV && var72 == ODIN ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == PORT && var72 == SSEDNC ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == SUED && var72 == ODIN ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == SUIS && var72 == SSEDNC ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == YOUG && var72 == SSEDNC ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == MAGH && var72 == DUCA ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == TURQ && var72 == DUCA ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == ARGE && var72 == DUCA ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == BRES && var72 == PARBRE ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == CHIL && var72 == SSEDNC ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == COLO && var72 == DUCA ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == AFSU && var72 == SSEDNC ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == MARO && var72 == DUCA ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == ISRA && var72 == SSEDNC ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == HONG && var72 == SSEDNC ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == TCHE && var72 == PARTCH ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == POLO && var72 == PARPOL ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == JAPO && var72 == FUJI ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == TAIW && var72 == SSEDNC ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == AUST && var72 == KANG ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == URUG && var72 == SSEDNC ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == DAIB && var72 == DUCA ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == DAIC && var72 == DUCA ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == DAID && var72 == SSEDNC ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == DAIF && var72 == VRMI ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == EUOR && var72 == SSEDNC ) || ( var1 == E64 && var2 == E3 && var3 == MF && var5 == CETI && var72 == DUCA ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == FRAN && var72 == SSEDNC ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == DOTO && var72 == SSEDNC ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == ALLE && var72 == PARALL ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == AUTR && var72 == SSEDNC ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == BELG && var72 == SSEDNC ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == DANE && var72 == ODIN ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == ESPA && var72 == SSEDNC ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == FINL && var72 == ODIN ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == GRBR && var72 == SSEDNC ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == GREC && var72 == SSEDNC ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == HOLL && var72 == SSEDNC ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == IRLA && var72 == SSEDNC ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == ISLA && var72 == SSEDNC ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == ITAL && var72 == SSEDNC ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == NORV && var72 == ODIN ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == PORT && var72 == SSEDNC ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == SUED && var72 == ODIN ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == SUIS && var72 == SSEDNC ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == YOUG && var72 == SSEDNC ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == MAGH && var72 == DUCA ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == TURQ && var72 == DUCA ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == ARGE && var72 == DUCA ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == BRES && var72 == PARBRE ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == CHIL && var72 == SSEDNC ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == COLO && var72 == DUCA ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == AFSU && var72 == SSEDNC ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == MARO && var72 == DUCA ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == ISRA && var72 == SSEDNC ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == HONG && var72 == SSEDNC ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == TCHE && var72 == PARTCH ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == POLO && var72 == PARPOL ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == JAPO && var72 == FUJI ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == TAIW && var72 == SSEDNC ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == AUST && var72 == KANG ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == URUG && var72 == SSEDNC ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == DAIB && var72 == DUCA ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == DAIC && var72 == DUCA ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == DAID && var72 == SSEDNC ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == DAIF && var72 == VRMI ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == EUOR && var72 == SSEDNC ) || ( var1 == E64 && var2 == E3 && var3 == MH && var5 == CETI && var72 == DUCA ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == FRAN && var72 == SSEDNC ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == DOTO && var72 == SSEDNC ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == ALLE && var72 == PARALL ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == AUTR && var72 == SSEDNC ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == BELG && var72 == SSEDNC ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == DANE && var72 == ODIN ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == ESPA && var72 == SSEDNC ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == FINL && var72 == ODIN ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == GRBR && var72 == SSEDNC ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == GREC && var72 == SSEDNC ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == HOLL && var72 == SSEDNC ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == IRLA && var72 == SSEDNC ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == ISLA && var72 == SSEDNC ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == ITAL && var72 == SSEDNC ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == NORV && var72 == ODIN ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == PORT && var72 == SSEDNC ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == SUED && var72 == ODIN ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == SUIS && var72 == SSEDNC ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == YOUG && var72 == SSEDNC ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == MAGH && var72 == DUCA ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == TURQ && var72 == DUCA ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == ARGE && var72 == DUCA ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == BRES && var72 == PARBRE ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == CHIL && var72 == SSEDNC ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == COLO && var72 == DUCA ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == AFSU && var72 == SSEDNC ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == MARO && var72 == DUCA ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == ISRA && var72 == SSEDNC ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == HONG && var72 == SSEDNC ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == TCHE && var72 == PARTCH ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == POLO && var72 == PARPOL ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == JAPO && var72 == FUJI ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == TAIW && var72 == SSEDNC ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == AUST && var72 == KANG ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == URUG && var72 == SSEDNC ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == DAIB && var72 == DUCA ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == DAIC && var72 == DUCA ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == DAID && var72 == SSEDNC ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == DAIF && var72 == VRMI ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == EUOR && var72 == SSEDNC ) || ( var1 == E64 && var2 == E3 && var3 == MG && var5 == CETI && var72 == DUCA ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == FRAN && var72 == SSEDNC ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == DOTO && var72 == SSEDNC ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == ALLE && var72 == PARALL ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == AUTR && var72 == SSEDNC ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == BELG && var72 == SSEDNC ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == DANE && var72 == ODIN ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == ESPA && var72 == SSEDNC ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == FINL && var72 == ODIN ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == GRBR && var72 == SSEDNC ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == GREC && var72 == SSEDNC ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == HOLL && var72 == SSEDNC ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == IRLA && var72 == SSEDNC ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == ISLA && var72 == SSEDNC ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == ITAL && var72 == SSEDNC ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == NORV && var72 == ODIN ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == PORT && var72 == SSEDNC ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == SUED && var72 == ODIN ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == SUIS && var72 == SSEDNC ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == YOUG && var72 == SSEDNC ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == MAGH && var72 == DUCA ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == TURQ && var72 == DUCA ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == ARGE && var72 == DUCA ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == BRES && var72 == PARBRE ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == CHIL && var72 == SSEDNC ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == COLO && var72 == DUCA ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == AFSU && var72 == SSEDNC ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == MARO && var72 == DUCA ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == ISRA && var72 == SSEDNC ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == HONG && var72 == SSEDNC ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == TCHE && var72 == PARTCH ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == POLO && var72 == PARPOL ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == JAPO && var72 == FUJI ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == TAIW && var72 == SSEDNC ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == AUST && var72 == KANG ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == URUG && var72 == SSEDNC ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == DAIB && var72 == DUCA ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == DAIC && var72 == DUCA ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == DAID && var72 == SSEDNC ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == DAIF && var72 == VRMI ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == EUOR && var72 == SSEDNC ) || ( var1 == E64 && var2 == E3 && var3 == NM2K && var5 == CETI && var72 == DUCA ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == FRAN && var72 == SSEDNC ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == DOTO && var72 == SSEDNC ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == ALLE && var72 == PARALL ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == AUTR && var72 == SSEDNC ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == BELG && var72 == SSEDNC ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == DANE && var72 == ODIN ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == ESPA && var72 == SSEDNC ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == FINL && var72 == ODIN ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == GRBR && var72 == SSEDNC ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == GREC && var72 == SSEDNC ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == HOLL && var72 == SSEDNC ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == IRLA && var72 == SSEDNC ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == ISLA && var72 == SSEDNC ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == ITAL && var72 == SSEDNC ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == NORV && var72 == ODIN ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == PORT && var72 == SSEDNC ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == SUED && var72 == ODIN ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == SUIS && var72 == SSEDNC ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == YOUG && var72 == SSEDNC ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == MAGH && var72 == DUCA ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == TURQ && var72 == DUCA ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == ARGE && var72 == DUCA ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == BRES && var72 == PARBRE ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == CHIL && var72 == SSEDNC ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == COLO && var72 == DUCA ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == AFSU && var72 == SSEDNC ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == MARO && var72 == DUCA ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == ISRA && var72 == SSEDNC ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == HONG && var72 == SSEDNC ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == TCHE && var72 == PARTCH ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == POLO && var72 == PARPOL ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == JAPO && var72 == FUJI ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == TAIW && var72 == SSEDNC ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == AUST && var72 == KANG ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == URUG && var72 == SSEDNC ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == DAIB && var72 == DUCA ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == DAIC && var72 == DUCA ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == DAID && var72 == SSEDNC ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == DAIF && var72 == VRMI ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == EUOR && var72 == SSEDNC ) || ( var1 == E64 && var2 == E5 && var3 == MB && var5 == CETI && var72 == DUCA ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == FRAN && var72 == SSEDNC ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == DOTO && var72 == SSEDNC ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == ALLE && var72 == PARALL ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == AUTR && var72 == SSEDNC ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == BELG && var72 == SSEDNC ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == DANE && var72 == ODIN ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == ESPA && var72 == SSEDNC ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == FINL && var72 == ODIN ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == GRBR && var72 == SSEDNC ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == GREC && var72 == SSEDNC ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == HOLL && var72 == SSEDNC ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == IRLA && var72 == SSEDNC ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == ISLA && var72 == SSEDNC ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == ITAL && var72 == SSEDNC ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == NORV && var72 == ODIN ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == PORT && var72 == SSEDNC ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == SUED && var72 == ODIN ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == SUIS && var72 == SSEDNC ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == YOUG && var72 == SSEDNC ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == MAGH && var72 == DUCA ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == TURQ && var72 == DUCA ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == ARGE && var72 == DUCA ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == BRES && var72 == PARBRE ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == CHIL && var72 == SSEDNC ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == COLO && var72 == DUCA ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == AFSU && var72 == SSEDNC ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == MARO && var72 == DUCA ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == ISRA && var72 == SSEDNC ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == HONG && var72 == SSEDNC ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == TCHE && var72 == PARTCH ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == POLO && var72 == PARPOL ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == JAPO && var72 == FUJI ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == TAIW && var72 == SSEDNC ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == AUST && var72 == KANG ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == URUG && var72 == SSEDNC ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == DAIB && var72 == DUCA ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == DAIC && var72 == DUCA ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == DAID && var72 == SSEDNC ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == DAIF && var72 == VRMI ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == EUOR && var72 == SSEDNC ) || ( var1 == E64 && var2 == E5 && var3 == MC && var5 == CETI && var72 == DUCA ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == FRAN && var72 == SSEDNC ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == DOTO && var72 == SSEDNC ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == ALLE && var72 == PARALL ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == AUTR && var72 == SSEDNC ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == BELG && var72 == SSEDNC ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == DANE && var72 == ODIN ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == ESPA && var72 == SSEDNC ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == FINL && var72 == ODIN ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == GRBR && var72 == SSEDNC ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == GREC && var72 == SSEDNC ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == HOLL && var72 == SSEDNC ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == IRLA && var72 == SSEDNC ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == ISLA && var72 == SSEDNC ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == ITAL && var72 == SSEDNC ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == NORV && var72 == ODIN ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == PORT && var72 == SSEDNC ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == SUED && var72 == ODIN ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == SUIS && var72 == SSEDNC ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == YOUG && var72 == SSEDNC ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == MAGH && var72 == DUCA ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == TURQ && var72 == DUCA ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == ARGE && var72 == DUCA ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == BRES && var72 == PARBRE ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == CHIL && var72 == SSEDNC ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == COLO && var72 == DUCA ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == AFSU && var72 == SSEDNC ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == MARO && var72 == DUCA ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == ISRA && var72 == SSEDNC ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == HONG && var72 == SSEDNC ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == TCHE && var72 == PARTCH ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == POLO && var72 == PARPOL ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == JAPO && var72 == FUJI ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == TAIW && var72 == SSEDNC ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == AUST && var72 == KANG ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == URUG && var72 == SSEDNC ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == DAIB && var72 == DUCA ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == DAIC && var72 == DUCA ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == DAID && var72 == SSEDNC ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == DAIF && var72 == VRMI ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == EUOR && var72 == SSEDNC ) || ( var1 == E64 && var2 == E5 && var3 == MF && var5 == CETI && var72 == DUCA ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == FRAN && var72 == SSEDNC ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == DOTO && var72 == SSEDNC ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == ALLE && var72 == PARALL ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == AUTR && var72 == SSEDNC ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == BELG && var72 == SSEDNC ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == DANE && var72 == ODIN ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == ESPA && var72 == SSEDNC ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == FINL && var72 == ODIN ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == GRBR && var72 == SSEDNC ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == GREC && var72 == SSEDNC ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == HOLL && var72 == SSEDNC ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == IRLA && var72 == SSEDNC ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == ISLA && var72 == SSEDNC ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == ITAL && var72 == SSEDNC ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == NORV && var72 == ODIN ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == PORT && var72 == SSEDNC ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == SUED && var72 == ODIN ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == SUIS && var72 == SSEDNC ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == YOUG && var72 == SSEDNC ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == MAGH && var72 == DUCA ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == TURQ && var72 == DUCA ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == ARGE && var72 == DUCA ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == BRES && var72 == PARBRE ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == CHIL && var72 == SSEDNC ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == COLO && var72 == DUCA ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == AFSU && var72 == SSEDNC ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == MARO && var72 == DUCA ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == ISRA && var72 == SSEDNC ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == HONG && var72 == SSEDNC ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == TCHE && var72 == PARTCH ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == POLO && var72 == PARPOL ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == JAPO && var72 == FUJI ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == TAIW && var72 == SSEDNC ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == AUST && var72 == KANG ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == URUG && var72 == SSEDNC ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == DAIB && var72 == DUCA ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == DAIC && var72 == DUCA ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == DAID && var72 == SSEDNC ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == DAIF && var72 == VRMI ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == EUOR && var72 == SSEDNC ) || ( var1 == E64 && var2 == E5 && var3 == MH && var5 == CETI && var72 == DUCA ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == FRAN && var72 == SSEDNC ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == DOTO && var72 == SSEDNC ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == ALLE && var72 == PARALL ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == AUTR && var72 == SSEDNC ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == BELG && var72 == SSEDNC ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == DANE && var72 == ODIN ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == ESPA && var72 == SSEDNC ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == FINL && var72 == ODIN ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == GRBR && var72 == SSEDNC ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == GREC && var72 == SSEDNC ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == HOLL && var72 == SSEDNC ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == IRLA && var72 == SSEDNC ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == ISLA && var72 == SSEDNC ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == ITAL && var72 == SSEDNC ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == NORV && var72 == ODIN ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == PORT && var72 == SSEDNC ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == SUED && var72 == ODIN ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == SUIS && var72 == SSEDNC ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == YOUG && var72 == SSEDNC ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == MAGH && var72 == DUCA ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == TURQ && var72 == DUCA ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == ARGE && var72 == DUCA ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == BRES && var72 == PARBRE ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == CHIL && var72 == SSEDNC ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == COLO && var72 == DUCA ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == AFSU && var72 == SSEDNC ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == MARO && var72 == DUCA ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == ISRA && var72 == SSEDNC ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == HONG && var72 == SSEDNC ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == TCHE && var72 == PARTCH ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == POLO && var72 == PARPOL ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == JAPO && var72 == FUJI ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == TAIW && var72 == SSEDNC ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == AUST && var72 == KANG ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == URUG && var72 == SSEDNC ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == DAIB && var72 == DUCA ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == DAIC && var72 == DUCA ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == DAID && var72 == SSEDNC ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == DAIF && var72 == VRMI ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == EUOR && var72 == SSEDNC ) || ( var1 == E64 && var2 == E5 && var3 == MG && var5 == CETI && var72 == DUCA ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == FRAN && var72 == SSEDNC ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == DOTO && var72 == SSEDNC ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == ALLE && var72 == PARALL ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == AUTR && var72 == SSEDNC ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == BELG && var72 == SSEDNC ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == DANE && var72 == ODIN ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == ESPA && var72 == SSEDNC ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == FINL && var72 == ODIN ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == GRBR && var72 == SSEDNC ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == GREC && var72 == SSEDNC ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == HOLL && var72 == SSEDNC ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == IRLA && var72 == SSEDNC ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == ISLA && var72 == SSEDNC ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == ITAL && var72 == SSEDNC ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == NORV && var72 == ODIN ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == PORT && var72 == SSEDNC ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == SUED && var72 == ODIN ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == SUIS && var72 == SSEDNC ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == YOUG && var72 == SSEDNC ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == MAGH && var72 == DUCA ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == TURQ && var72 == DUCA ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == ARGE && var72 == DUCA ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == BRES && var72 == PARBRE ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == CHIL && var72 == SSEDNC ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == COLO && var72 == DUCA ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == AFSU && var72 == SSEDNC ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == MARO && var72 == DUCA ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == ISRA && var72 == SSEDNC ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == HONG && var72 == SSEDNC ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == TCHE && var72 == PARTCH ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == POLO && var72 == PARPOL ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == JAPO && var72 == FUJI ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == TAIW && var72 == SSEDNC ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == AUST && var72 == KANG ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == URUG && var72 == SSEDNC ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == DAIB && var72 == DUCA ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == DAIC && var72 == DUCA ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == DAID && var72 == SSEDNC ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == DAIF && var72 == VRMI ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == EUOR && var72 == SSEDNC ) || ( var1 == E64 && var2 == E5 && var3 == NM2K && var5 == CETI && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == FRAN && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == DOTO && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == ALLE && var72 == PARALL ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == AUTR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == BELG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == DANE && var72 == ODIN ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == ESPA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == FINL && var72 == ODIN ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == GRBR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == GREC && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == HOLL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == IRLA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == ISLA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == ITAL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == NORV && var72 == ODIN ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == PORT && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == SUED && var72 == ODIN ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == SUIS && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == YOUG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == MAGH && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == TURQ && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == ARGE && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == BRES && var72 == PARBRE ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == CHIL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == COLO && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == AFSU && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == MARO && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == ISRA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == HONG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == TCHE && var72 == PARTCH ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == POLO && var72 == PARPOL ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == JAPO && var72 == FUJI ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == TAIW && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == AUST && var72 == KANG ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == URUG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == DAIB && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == DAIC && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == DAID && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == DAIF && var72 == VRMI ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == EUOR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == M5 && var5 == CETI && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var5 == FRAN && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var5 == DOTO && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var5 == ALLE && var72 == PARALL ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var5 == AUTR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var5 == BELG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var5 == DANE && var72 == ODIN ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var5 == ESPA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var5 == FINL && var72 == ODIN ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var5 == GRBR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var5 == GREC && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var5 == HOLL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var5 == IRLA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var5 == ISLA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var5 == ITAL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var5 == NORV && var72 == ODIN ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var5 == PORT && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var5 == SUED && var72 == ODIN ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var5 == SUIS && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var5 == YOUG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var5 == MAGH && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var5 == TURQ && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var5 == ARGE && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var5 == BRES && var72 == PARBRE ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var5 == CHIL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var5 == COLO && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var5 == AFSU && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var5 == MARO && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var5 == ISRA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var5 == HONG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var5 == TCHE && var72 == PARTCH ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var5 == POLO && var72 == PARPOL ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var5 == JAPO && var72 == FUJI ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var5 == TAIW && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var5 == AUST && var72 == KANG ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var5 == URUG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var5 == DAIB && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var5 == DAIC && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var5 == DAID && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var5 == DAIF && var72 == VRMI ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var5 == EUOR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == M6 && var5 == CETI && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var5 == FRAN && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var5 == DOTO && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var5 == ALLE && var72 == PARALL ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var5 == AUTR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var5 == BELG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var5 == DANE && var72 == ODIN ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var5 == ESPA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var5 == FINL && var72 == ODIN ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var5 == GRBR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var5 == GREC && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var5 == HOLL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var5 == IRLA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var5 == ISLA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var5 == ITAL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var5 == NORV && var72 == ODIN ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var5 == PORT && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var5 == SUED && var72 == ODIN ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var5 == SUIS && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var5 == YOUG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var5 == MAGH && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var5 == TURQ && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var5 == ARGE && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var5 == BRES && var72 == PARBRE ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var5 == CHIL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var5 == COLO && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var5 == AFSU && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var5 == MARO && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var5 == ISRA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var5 == HONG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var5 == TCHE && var72 == PARTCH ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var5 == POLO && var72 == PARPOL ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var5 == JAPO && var72 == FUJI ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var5 == TAIW && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var5 == AUST && var72 == KANG ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var5 == URUG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var5 == DAIB && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var5 == DAIC && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var5 == DAID && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var5 == DAIF && var72 == VRMI ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var5 == EUOR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == M7 && var5 == CETI && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == FRAN && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == DOTO && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == ALLE && var72 == PARALL ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == AUTR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == BELG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == DANE && var72 == ODIN ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == ESPA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == FINL && var72 == ODIN ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == GRBR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == GREC && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == HOLL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == IRLA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == ISLA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == ITAL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == NORV && var72 == ODIN ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == PORT && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == SUED && var72 == ODIN ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == SUIS && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == YOUG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == MAGH && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == TURQ && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == ARGE && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == BRES && var72 == PARBRE ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == CHIL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == COLO && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == AFSU && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == MARO && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == ISRA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == HONG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == TCHE && var72 == PARTCH ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == POLO && var72 == PARPOL ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == JAPO && var72 == FUJI ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == TAIW && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == AUST && var72 == KANG ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == URUG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == DAIB && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == DAIC && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == DAID && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == DAIF && var72 == VRMI ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == EUOR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == M8 && var5 == CETI && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var5 == FRAN && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var5 == DOTO && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var5 == ALLE && var72 == PARALL ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var5 == AUTR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var5 == BELG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var5 == DANE && var72 == ODIN ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var5 == ESPA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var5 == FINL && var72 == ODIN ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var5 == GRBR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var5 == GREC && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var5 == HOLL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var5 == IRLA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var5 == ISLA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var5 == ITAL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var5 == NORV && var72 == ODIN ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var5 == PORT && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var5 == SUED && var72 == ODIN ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var5 == SUIS && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var5 == YOUG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var5 == MAGH && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var5 == TURQ && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var5 == ARGE && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var5 == BRES && var72 == PARBRE ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var5 == CHIL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var5 == COLO && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var5 == AFSU && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var5 == MARO && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var5 == ISRA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var5 == HONG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var5 == TCHE && var72 == PARTCH ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var5 == POLO && var72 == PARPOL ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var5 == JAPO && var72 == FUJI ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var5 == TAIW && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var5 == AUST && var72 == KANG ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var5 == URUG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var5 == DAIB && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var5 == DAIC && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var5 == DAID && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var5 == DAIF && var72 == VRMI ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var5 == EUOR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == M9 && var5 == CETI && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == FRAN && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == DOTO && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == ALLE && var72 == PARALL ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == AUTR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == BELG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == DANE && var72 == ODIN ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == ESPA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == FINL && var72 == ODIN ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == GRBR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == GREC && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == HOLL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == IRLA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == ISLA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == ITAL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == NORV && var72 == ODIN ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == PORT && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == SUED && var72 == ODIN ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == SUIS && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == YOUG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == MAGH && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == TURQ && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == ARGE && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == BRES && var72 == PARBRE ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == CHIL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == COLO && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == AFSU && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == MARO && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == ISRA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == HONG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == TCHE && var72 == PARTCH ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == POLO && var72 == PARPOL ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == JAPO && var72 == FUJI ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == TAIW && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == AUST && var72 == KANG ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == URUG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == DAIB && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == DAIC && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == DAID && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == DAIF && var72 == VRMI ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == EUOR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MA && var5 == CETI && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == FRAN && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == DOTO && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == ALLE && var72 == PARALL ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == AUTR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == BELG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == DANE && var72 == ODIN ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == ESPA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == FINL && var72 == ODIN ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == GRBR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == GREC && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == HOLL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == IRLA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == ISLA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == ITAL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == NORV && var72 == ODIN ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == PORT && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == SUED && var72 == ODIN ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == SUIS && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == YOUG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == MAGH && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == TURQ && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == ARGE && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == BRES && var72 == PARBRE ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == CHIL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == COLO && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == AFSU && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == MARO && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == ISRA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == HONG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == TCHE && var72 == PARTCH ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == POLO && var72 == PARPOL ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == JAPO && var72 == FUJI ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == TAIW && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == AUST && var72 == KANG ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == URUG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == DAIB && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == DAIC && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == DAID && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == DAIF && var72 == VRMI ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == EUOR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MB && var5 == CETI && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == FRAN && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == DOTO && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == ALLE && var72 == PARALL ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == AUTR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == BELG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == DANE && var72 == ODIN ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == ESPA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == FINL && var72 == ODIN ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == GRBR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == GREC && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == HOLL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == IRLA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == ISLA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == ITAL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == NORV && var72 == ODIN ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == PORT && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == SUED && var72 == ODIN ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == SUIS && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == YOUG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == MAGH && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == TURQ && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == ARGE && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == BRES && var72 == PARBRE ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == CHIL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == COLO && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == AFSU && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == MARO && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == ISRA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == HONG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == TCHE && var72 == PARTCH ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == POLO && var72 == PARPOL ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == JAPO && var72 == FUJI ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == TAIW && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == AUST && var72 == KANG ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == URUG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == DAIB && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == DAIC && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == DAID && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == DAIF && var72 == VRMI ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == EUOR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MC && var5 == CETI && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == FRAN && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == DOTO && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == ALLE && var72 == PARALL ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == AUTR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == BELG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == DANE && var72 == ODIN ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == ESPA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == FINL && var72 == ODIN ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == GRBR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == GREC && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == HOLL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == IRLA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == ISLA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == ITAL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == NORV && var72 == ODIN ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == PORT && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == SUED && var72 == ODIN ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == SUIS && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == YOUG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == MAGH && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == TURQ && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == ARGE && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == BRES && var72 == PARBRE ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == CHIL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == COLO && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == AFSU && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == MARO && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == ISRA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == HONG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == TCHE && var72 == PARTCH ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == POLO && var72 == PARPOL ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == JAPO && var72 == FUJI ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == TAIW && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == AUST && var72 == KANG ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == URUG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == DAIB && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == DAIC && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == DAID && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == DAIF && var72 == VRMI ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == EUOR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MD && var5 == CETI && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == FRAN && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == DOTO && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == ALLE && var72 == PARALL ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == AUTR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == BELG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == DANE && var72 == ODIN ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == ESPA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == FINL && var72 == ODIN ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == GRBR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == GREC && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == HOLL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == IRLA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == ISLA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == ITAL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == NORV && var72 == ODIN ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == PORT && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == SUED && var72 == ODIN ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == SUIS && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == YOUG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == MAGH && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == TURQ && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == ARGE && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == BRES && var72 == PARBRE ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == CHIL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == COLO && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == AFSU && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == MARO && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == ISRA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == HONG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == TCHE && var72 == PARTCH ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == POLO && var72 == PARPOL ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == JAPO && var72 == FUJI ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == TAIW && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == AUST && var72 == KANG ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == URUG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == DAIB && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == DAIC && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == DAID && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == DAIF && var72 == VRMI ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == EUOR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == ME && var5 == CETI && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == FRAN && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == DOTO && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == ALLE && var72 == PARALL ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == AUTR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == BELG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == DANE && var72 == ODIN ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == ESPA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == FINL && var72 == ODIN ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == GRBR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == GREC && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == HOLL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == IRLA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == ISLA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == ITAL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == NORV && var72 == ODIN ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == PORT && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == SUED && var72 == ODIN ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == SUIS && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == YOUG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == MAGH && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == TURQ && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == ARGE && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == BRES && var72 == PARBRE ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == CHIL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == COLO && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == AFSU && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == MARO && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == ISRA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == HONG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == TCHE && var72 == PARTCH ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == POLO && var72 == PARPOL ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == JAPO && var72 == FUJI ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == TAIW && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == AUST && var72 == KANG ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == URUG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == DAIB && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == DAIC && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == DAID && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == DAIF && var72 == VRMI ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == EUOR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MF && var5 == CETI && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == FRAN && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == DOTO && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == ALLE && var72 == PARALL ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == AUTR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == BELG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == DANE && var72 == ODIN ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == ESPA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == FINL && var72 == ODIN ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == GRBR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == GREC && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == HOLL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == IRLA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == ISLA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == ITAL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == NORV && var72 == ODIN ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == PORT && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == SUED && var72 == ODIN ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == SUIS && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == YOUG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == MAGH && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == TURQ && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == ARGE && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == BRES && var72 == PARBRE ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == CHIL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == COLO && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == AFSU && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == MARO && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == ISRA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == HONG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == TCHE && var72 == PARTCH ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == POLO && var72 == PARPOL ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == JAPO && var72 == FUJI ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == TAIW && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == AUST && var72 == KANG ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == URUG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == DAIB && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == DAIC && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == DAID && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == DAIF && var72 == VRMI ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == EUOR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MJ && var5 == CETI && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == FRAN && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == DOTO && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == ALLE && var72 == PARALL ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == AUTR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == BELG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == DANE && var72 == ODIN ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == ESPA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == FINL && var72 == ODIN ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == GRBR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == GREC && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == HOLL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == IRLA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == ISLA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == ITAL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == NORV && var72 == ODIN ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == PORT && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == SUED && var72 == ODIN ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == SUIS && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == YOUG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == MAGH && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == TURQ && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == ARGE && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == BRES && var72 == PARBRE ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == CHIL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == COLO && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == AFSU && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == MARO && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == ISRA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == HONG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == TCHE && var72 == PARTCH ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == POLO && var72 == PARPOL ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == JAPO && var72 == FUJI ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == TAIW && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == AUST && var72 == KANG ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == URUG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == DAIB && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == DAIC && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == DAID && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == DAIF && var72 == VRMI ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == EUOR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MK && var5 == CETI && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == FRAN && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == DOTO && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == ALLE && var72 == PARALL ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == AUTR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == BELG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == DANE && var72 == ODIN ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == ESPA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == FINL && var72 == ODIN ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == GRBR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == GREC && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == HOLL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == IRLA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == ISLA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == ITAL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == NORV && var72 == ODIN ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == PORT && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == SUED && var72 == ODIN ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == SUIS && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == YOUG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == MAGH && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == TURQ && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == ARGE && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == BRES && var72 == PARBRE ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == CHIL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == COLO && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == AFSU && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == MARO && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == ISRA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == HONG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == TCHE && var72 == PARTCH ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == POLO && var72 == PARPOL ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == JAPO && var72 == FUJI ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == TAIW && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == AUST && var72 == KANG ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == URUG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == DAIB && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == DAIC && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == DAID && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == DAIF && var72 == VRMI ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == EUOR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == ML && var5 == CETI && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == FRAN && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == DOTO && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == ALLE && var72 == PARALL ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == AUTR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == BELG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == DANE && var72 == ODIN ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == ESPA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == FINL && var72 == ODIN ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == GRBR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == GREC && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == HOLL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == IRLA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == ISLA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == ITAL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == NORV && var72 == ODIN ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == PORT && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == SUED && var72 == ODIN ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == SUIS && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == YOUG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == MAGH && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == TURQ && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == ARGE && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == BRES && var72 == PARBRE ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == CHIL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == COLO && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == AFSU && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == MARO && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == ISRA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == HONG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == TCHE && var72 == PARTCH ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == POLO && var72 == PARPOL ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == JAPO && var72 == FUJI ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == TAIW && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == AUST && var72 == KANG ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == URUG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == DAIB && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == DAIC && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == DAID && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == DAIF && var72 == VRMI ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == EUOR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MM && var5 == CETI && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == MS && var5 == FRAN && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MS && var5 == DOTO && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MS && var5 == ALLE && var72 == PARALL ) || ( var1 == D64 && var2 == E2 && var3 == MS && var5 == AUTR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MS && var5 == BELG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MS && var5 == DANE && var72 == ODIN ) || ( var1 == D64 && var2 == E2 && var3 == MS && var5 == ESPA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MS && var5 == FINL && var72 == ODIN ) || ( var1 == D64 && var2 == E2 && var3 == MS && var5 == GRBR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MS && var5 == GREC && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MS && var5 == HOLL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MS && var5 == IRLA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MS && var5 == ISLA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MS && var5 == ITAL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MS && var5 == NORV && var72 == ODIN ) || ( var1 == D64 && var2 == E2 && var3 == MS && var5 == PORT && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MS && var5 == SUED && var72 == ODIN ) || ( var1 == D64 && var2 == E2 && var3 == MS && var5 == SUIS && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MS && var5 == YOUG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MS && var5 == MAGH && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == MS && var5 == TURQ && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == MS && var5 == ARGE && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == MS && var5 == BRES && var72 == PARBRE ) || ( var1 == D64 && var2 == E2 && var3 == MS && var5 == CHIL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MS && var5 == COLO && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == MS && var5 == AFSU && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MS && var5 == MARO && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == MS && var5 == ISRA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MS && var5 == HONG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MS && var5 == TCHE && var72 == PARTCH ) || ( var1 == D64 && var2 == E2 && var3 == MS && var5 == POLO && var72 == PARPOL ) || ( var1 == D64 && var2 == E2 && var3 == MS && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MS && var5 == JAPO && var72 == FUJI ) || ( var1 == D64 && var2 == E2 && var3 == MS && var5 == TAIW && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MS && var5 == AUST && var72 == KANG ) || ( var1 == D64 && var2 == E2 && var3 == MS && var5 == URUG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MS && var5 == DAIB && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == MS && var5 == DAIC && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == MS && var5 == DAID && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MS && var5 == DAIF && var72 == VRMI ) || ( var1 == D64 && var2 == E2 && var3 == MS && var5 == EUOR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MS && var5 == CETI && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == FRAN && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == DOTO && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == ALLE && var72 == PARALL ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == AUTR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == BELG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == DANE && var72 == ODIN ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == ESPA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == FINL && var72 == ODIN ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == GRBR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == GREC && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == HOLL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == IRLA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == ISLA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == ITAL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == NORV && var72 == ODIN ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == PORT && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == SUED && var72 == ODIN ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == SUIS && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == YOUG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == MAGH && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == TURQ && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == ARGE && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == BRES && var72 == PARBRE ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == CHIL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == COLO && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == AFSU && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == MARO && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == ISRA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == HONG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == TCHE && var72 == PARTCH ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == POLO && var72 == PARPOL ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == JAPO && var72 == FUJI ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == TAIW && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == AUST && var72 == KANG ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == URUG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == DAIB && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == DAIC && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == DAID && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == DAIF && var72 == VRMI ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == EUOR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MT && var5 == CETI && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == MU && var5 == FRAN && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MU && var5 == DOTO && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MU && var5 == ALLE && var72 == PARALL ) || ( var1 == D64 && var2 == E2 && var3 == MU && var5 == AUTR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MU && var5 == BELG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MU && var5 == DANE && var72 == ODIN ) || ( var1 == D64 && var2 == E2 && var3 == MU && var5 == ESPA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MU && var5 == FINL && var72 == ODIN ) || ( var1 == D64 && var2 == E2 && var3 == MU && var5 == GRBR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MU && var5 == GREC && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MU && var5 == HOLL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MU && var5 == IRLA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MU && var5 == ISLA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MU && var5 == ITAL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MU && var5 == NORV && var72 == ODIN ) || ( var1 == D64 && var2 == E2 && var3 == MU && var5 == PORT && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MU && var5 == SUED && var72 == ODIN ) || ( var1 == D64 && var2 == E2 && var3 == MU && var5 == SUIS && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MU && var5 == YOUG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MU && var5 == MAGH && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == MU && var5 == TURQ && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == MU && var5 == ARGE && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == MU && var5 == BRES && var72 == PARBRE ) || ( var1 == D64 && var2 == E2 && var3 == MU && var5 == CHIL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MU && var5 == COLO && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == MU && var5 == AFSU && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MU && var5 == MARO && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == MU && var5 == ISRA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MU && var5 == HONG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MU && var5 == TCHE && var72 == PARTCH ) || ( var1 == D64 && var2 == E2 && var3 == MU && var5 == POLO && var72 == PARPOL ) || ( var1 == D64 && var2 == E2 && var3 == MU && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MU && var5 == JAPO && var72 == FUJI ) || ( var1 == D64 && var2 == E2 && var3 == MU && var5 == TAIW && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MU && var5 == AUST && var72 == KANG ) || ( var1 == D64 && var2 == E2 && var3 == MU && var5 == URUG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MU && var5 == DAIB && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == MU && var5 == DAIC && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == MU && var5 == DAID && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MU && var5 == DAIF && var72 == VRMI ) || ( var1 == D64 && var2 == E2 && var3 == MU && var5 == EUOR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MU && var5 == CETI && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == FRAN && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == DOTO && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == ALLE && var72 == PARALL ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == AUTR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == BELG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == DANE && var72 == ODIN ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == ESPA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == FINL && var72 == ODIN ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == GRBR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == GREC && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == HOLL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == IRLA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == ISLA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == ITAL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == NORV && var72 == ODIN ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == PORT && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == SUED && var72 == ODIN ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == SUIS && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == YOUG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == MAGH && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == TURQ && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == ARGE && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == BRES && var72 == PARBRE ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == CHIL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == COLO && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == AFSU && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == MARO && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == ISRA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == HONG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == TCHE && var72 == PARTCH ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == POLO && var72 == PARPOL ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == JAPO && var72 == FUJI ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == TAIW && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == AUST && var72 == KANG ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == URUG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == DAIB && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == DAIC && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == DAID && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == DAIF && var72 == VRMI ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == EUOR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MN && var5 == CETI && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == FRAN && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == DOTO && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == ALLE && var72 == PARALL ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == AUTR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == BELG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == DANE && var72 == ODIN ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == ESPA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == FINL && var72 == ODIN ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == GRBR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == GREC && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == HOLL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == IRLA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == ISLA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == ITAL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == NORV && var72 == ODIN ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == PORT && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == SUED && var72 == ODIN ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == SUIS && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == YOUG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == MAGH && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == TURQ && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == ARGE && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == BRES && var72 == PARBRE ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == CHIL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == COLO && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == AFSU && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == MARO && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == ISRA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == HONG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == TCHE && var72 == PARTCH ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == POLO && var72 == PARPOL ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == JAPO && var72 == FUJI ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == TAIW && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == AUST && var72 == KANG ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == URUG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == DAIB && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == DAIC && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == DAID && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == DAIF && var72 == VRMI ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == EUOR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MH && var5 == CETI && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == FRAN && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == DOTO && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == ALLE && var72 == PARALL ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == AUTR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == BELG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == DANE && var72 == ODIN ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == ESPA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == FINL && var72 == ODIN ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == GRBR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == GREC && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == HOLL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == IRLA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == ISLA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == ITAL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == NORV && var72 == ODIN ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == PORT && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == SUED && var72 == ODIN ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == SUIS && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == YOUG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == MAGH && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == TURQ && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == ARGE && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == BRES && var72 == PARBRE ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == CHIL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == COLO && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == AFSU && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == MARO && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == ISRA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == HONG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == TCHE && var72 == PARTCH ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == POLO && var72 == PARPOL ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == JAPO && var72 == FUJI ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == TAIW && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == AUST && var72 == KANG ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == URUG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == DAIB && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == DAIC && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == DAID && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == DAIF && var72 == VRMI ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == EUOR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MG && var5 == CETI && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == FRAN && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == DOTO && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == ALLE && var72 == PARALL ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == AUTR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == BELG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == DANE && var72 == ODIN ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == ESPA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == FINL && var72 == ODIN ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == GRBR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == GREC && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == HOLL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == IRLA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == ISLA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == ITAL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == NORV && var72 == ODIN ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == PORT && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == SUED && var72 == ODIN ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == SUIS && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == YOUG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == MAGH && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == TURQ && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == ARGE && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == BRES && var72 == PARBRE ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == CHIL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == COLO && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == AFSU && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == MARO && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == ISRA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == HONG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == TCHE && var72 == PARTCH ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == POLO && var72 == PARPOL ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == JAPO && var72 == FUJI ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == TAIW && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == AUST && var72 == KANG ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == URUG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == DAIB && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == DAIC && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == DAID && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == DAIF && var72 == VRMI ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == EUOR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == MY && var5 == CETI && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == FRAN && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == DOTO && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == ALLE && var72 == PARALL ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == AUTR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == BELG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == DANE && var72 == ODIN ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == ESPA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == FINL && var72 == ODIN ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == GRBR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == GREC && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == HOLL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == IRLA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == ISLA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == ITAL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == NORV && var72 == ODIN ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == PORT && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == SUED && var72 == ODIN ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == SUIS && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == YOUG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == MAGH && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == TURQ && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == ARGE && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == BRES && var72 == PARBRE ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == CHIL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == COLO && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == AFSU && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == MARO && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == ISRA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == HONG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == TCHE && var72 == PARTCH ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == POLO && var72 == PARPOL ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == JAPO && var72 == FUJI ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == TAIW && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == AUST && var72 == KANG ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == URUG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == DAIB && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == DAIC && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == DAID && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == DAIF && var72 == VRMI ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == EUOR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == NM2K && var5 == CETI && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == FRAN && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == DOTO && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == ALLE && var72 == PARALL ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == AUTR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == BELG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == DANE && var72 == ODIN ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == ESPA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == FINL && var72 == ODIN ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == GRBR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == GREC && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == HOLL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == IRLA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == ISLA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == ITAL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == NORV && var72 == ODIN ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == PORT && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == SUED && var72 == ODIN ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == SUIS && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == YOUG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == MAGH && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == TURQ && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == ARGE && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == BRES && var72 == PARBRE ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == CHIL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == COLO && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == AFSU && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == MARO && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == ISRA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == HONG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == TCHE && var72 == PARTCH ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == POLO && var72 == PARPOL ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == JAPO && var72 == FUJI ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == TAIW && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == AUST && var72 == KANG ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == URUG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == DAIB && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == DAIC && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == DAID && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == DAIF && var72 == VRMI ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == EUOR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == NM0C && var5 == CETI && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == FRAN && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == DOTO && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == ALLE && var72 == PARALL ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == AUTR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == BELG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == DANE && var72 == ODIN ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == ESPA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == FINL && var72 == ODIN ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == GRBR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == GREC && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == HOLL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == IRLA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == ISLA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == ITAL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == NORV && var72 == ODIN ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == PORT && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == SUED && var72 == ODIN ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == SUIS && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == YOUG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == MAGH && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == TURQ && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == ARGE && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == BRES && var72 == PARBRE ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == CHIL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == COLO && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == AFSU && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == MARO && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == ISRA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == HONG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == TCHE && var72 == PARTCH ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == POLO && var72 == PARPOL ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == JAPO && var72 == FUJI ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == TAIW && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == AUST && var72 == KANG ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == URUG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == DAIB && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == DAIC && var72 == DUCA ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == DAID && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == DAIF && var72 == VRMI ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == EUOR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E2 && var3 == ND1G && var5 == CETI && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == FRAN && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == DOTO && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == ALLE && var72 == PARALL ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == AUTR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == BELG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == DANE && var72 == ODIN ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == ESPA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == FINL && var72 == ODIN ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == GRBR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == GREC && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == HOLL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == IRLA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == ISLA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == ITAL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == NORV && var72 == ODIN ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == PORT && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == SUED && var72 == ODIN ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == SUIS && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == YOUG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == MAGH && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == TURQ && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == ARGE && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == BRES && var72 == PARBRE ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == CHIL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == COLO && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == AFSU && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == MARO && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == ISRA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == HONG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == TCHE && var72 == PARTCH ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == POLO && var72 == PARPOL ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == JAPO && var72 == FUJI ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == TAIW && var72 == SSEDNC ) ) || ( ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == AUST && var72 == KANG ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == URUG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == DAIB && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == DAIC && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == DAID && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == DAIF && var72 == VRMI ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == EUOR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == M5 && var5 == CETI && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var5 == FRAN && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var5 == DOTO && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var5 == ALLE && var72 == PARALL ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var5 == AUTR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var5 == BELG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var5 == DANE && var72 == ODIN ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var5 == ESPA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var5 == FINL && var72 == ODIN ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var5 == GRBR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var5 == GREC && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var5 == HOLL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var5 == IRLA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var5 == ISLA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var5 == ITAL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var5 == NORV && var72 == ODIN ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var5 == PORT && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var5 == SUED && var72 == ODIN ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var5 == SUIS && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var5 == YOUG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var5 == MAGH && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var5 == TURQ && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var5 == ARGE && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var5 == BRES && var72 == PARBRE ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var5 == CHIL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var5 == COLO && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var5 == AFSU && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var5 == MARO && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var5 == ISRA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var5 == HONG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var5 == TCHE && var72 == PARTCH ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var5 == POLO && var72 == PARPOL ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var5 == JAPO && var72 == FUJI ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var5 == TAIW && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var5 == AUST && var72 == KANG ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var5 == URUG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var5 == DAIB && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var5 == DAIC && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var5 == DAID && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var5 == DAIF && var72 == VRMI ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var5 == EUOR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == M6 && var5 == CETI && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var5 == FRAN && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var5 == DOTO && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var5 == ALLE && var72 == PARALL ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var5 == AUTR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var5 == BELG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var5 == DANE && var72 == ODIN ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var5 == ESPA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var5 == FINL && var72 == ODIN ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var5 == GRBR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var5 == GREC && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var5 == HOLL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var5 == IRLA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var5 == ISLA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var5 == ITAL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var5 == NORV && var72 == ODIN ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var5 == PORT && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var5 == SUED && var72 == ODIN ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var5 == SUIS && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var5 == YOUG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var5 == MAGH && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var5 == TURQ && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var5 == ARGE && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var5 == BRES && var72 == PARBRE ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var5 == CHIL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var5 == COLO && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var5 == AFSU && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var5 == MARO && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var5 == ISRA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var5 == HONG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var5 == TCHE && var72 == PARTCH ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var5 == POLO && var72 == PARPOL ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var5 == JAPO && var72 == FUJI ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var5 == TAIW && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var5 == AUST && var72 == KANG ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var5 == URUG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var5 == DAIB && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var5 == DAIC && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var5 == DAID && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var5 == DAIF && var72 == VRMI ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var5 == EUOR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == M7 && var5 == CETI && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == FRAN && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == DOTO && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == ALLE && var72 == PARALL ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == AUTR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == BELG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == DANE && var72 == ODIN ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == ESPA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == FINL && var72 == ODIN ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == GRBR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == GREC && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == HOLL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == IRLA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == ISLA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == ITAL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == NORV && var72 == ODIN ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == PORT && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == SUED && var72 == ODIN ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == SUIS && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == YOUG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == MAGH && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == TURQ && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == ARGE && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == BRES && var72 == PARBRE ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == CHIL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == COLO && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == AFSU && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == MARO && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == ISRA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == HONG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == TCHE && var72 == PARTCH ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == POLO && var72 == PARPOL ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == JAPO && var72 == FUJI ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == TAIW && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == AUST && var72 == KANG ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == URUG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == DAIB && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == DAIC && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == DAID && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == DAIF && var72 == VRMI ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == EUOR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == M8 && var5 == CETI && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var5 == FRAN && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var5 == DOTO && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var5 == ALLE && var72 == PARALL ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var5 == AUTR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var5 == BELG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var5 == DANE && var72 == ODIN ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var5 == ESPA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var5 == FINL && var72 == ODIN ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var5 == GRBR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var5 == GREC && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var5 == HOLL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var5 == IRLA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var5 == ISLA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var5 == ITAL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var5 == NORV && var72 == ODIN ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var5 == PORT && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var5 == SUED && var72 == ODIN ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var5 == SUIS && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var5 == YOUG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var5 == MAGH && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var5 == TURQ && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var5 == ARGE && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var5 == BRES && var72 == PARBRE ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var5 == CHIL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var5 == COLO && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var5 == AFSU && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var5 == MARO && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var5 == ISRA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var5 == HONG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var5 == TCHE && var72 == PARTCH ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var5 == POLO && var72 == PARPOL ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var5 == JAPO && var72 == FUJI ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var5 == TAIW && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var5 == AUST && var72 == KANG ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var5 == URUG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var5 == DAIB && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var5 == DAIC && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var5 == DAID && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var5 == DAIF && var72 == VRMI ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var5 == EUOR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == M9 && var5 == CETI && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == FRAN && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == DOTO && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == ALLE && var72 == PARALL ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == AUTR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == BELG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == DANE && var72 == ODIN ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == ESPA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == FINL && var72 == ODIN ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == GRBR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == GREC && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == HOLL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == IRLA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == ISLA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == ITAL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == NORV && var72 == ODIN ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == PORT && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == SUED && var72 == ODIN ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == SUIS && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == YOUG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == MAGH && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == TURQ && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == ARGE && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == BRES && var72 == PARBRE ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == CHIL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == COLO && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == AFSU && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == MARO && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == ISRA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == HONG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == TCHE && var72 == PARTCH ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == POLO && var72 == PARPOL ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == JAPO && var72 == FUJI ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == TAIW && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == AUST && var72 == KANG ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == URUG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == DAIB && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == DAIC && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == DAID && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == DAIF && var72 == VRMI ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == EUOR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MA && var5 == CETI && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == FRAN && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == DOTO && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == ALLE && var72 == PARALL ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == AUTR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == BELG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == DANE && var72 == ODIN ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == ESPA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == FINL && var72 == ODIN ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == GRBR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == GREC && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == HOLL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == IRLA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == ISLA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == ITAL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == NORV && var72 == ODIN ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == PORT && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == SUED && var72 == ODIN ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == SUIS && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == YOUG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == MAGH && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == TURQ && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == ARGE && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == BRES && var72 == PARBRE ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == CHIL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == COLO && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == AFSU && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == MARO && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == ISRA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == HONG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == TCHE && var72 == PARTCH ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == POLO && var72 == PARPOL ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == JAPO && var72 == FUJI ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == TAIW && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == AUST && var72 == KANG ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == URUG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == DAIB && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == DAIC && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == DAID && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == DAIF && var72 == VRMI ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == EUOR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MB && var5 == CETI && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == FRAN && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == DOTO && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == ALLE && var72 == PARALL ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == AUTR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == BELG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == DANE && var72 == ODIN ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == ESPA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == FINL && var72 == ODIN ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == GRBR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == GREC && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == HOLL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == IRLA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == ISLA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == ITAL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == NORV && var72 == ODIN ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == PORT && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == SUED && var72 == ODIN ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == SUIS && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == YOUG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == MAGH && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == TURQ && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == ARGE && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == BRES && var72 == PARBRE ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == CHIL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == COLO && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == AFSU && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == MARO && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == ISRA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == HONG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == TCHE && var72 == PARTCH ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == POLO && var72 == PARPOL ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == JAPO && var72 == FUJI ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == TAIW && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == AUST && var72 == KANG ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == URUG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == DAIB && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == DAIC && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == DAID && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == DAIF && var72 == VRMI ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == EUOR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MC && var5 == CETI && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == FRAN && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == DOTO && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == ALLE && var72 == PARALL ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == AUTR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == BELG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == DANE && var72 == ODIN ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == ESPA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == FINL && var72 == ODIN ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == GRBR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == GREC && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == HOLL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == IRLA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == ISLA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == ITAL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == NORV && var72 == ODIN ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == PORT && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == SUED && var72 == ODIN ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == SUIS && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == YOUG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == MAGH && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == TURQ && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == ARGE && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == BRES && var72 == PARBRE ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == CHIL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == COLO && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == AFSU && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == MARO && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == ISRA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == HONG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == TCHE && var72 == PARTCH ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == POLO && var72 == PARPOL ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == JAPO && var72 == FUJI ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == TAIW && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == AUST && var72 == KANG ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == URUG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == DAIB && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == DAIC && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == DAID && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == DAIF && var72 == VRMI ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == EUOR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MD && var5 == CETI && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == FRAN && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == DOTO && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == ALLE && var72 == PARALL ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == AUTR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == BELG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == DANE && var72 == ODIN ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == ESPA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == FINL && var72 == ODIN ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == GRBR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == GREC && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == HOLL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == IRLA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == ISLA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == ITAL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == NORV && var72 == ODIN ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == PORT && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == SUED && var72 == ODIN ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == SUIS && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == YOUG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == MAGH && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == TURQ && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == ARGE && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == BRES && var72 == PARBRE ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == CHIL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == COLO && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == AFSU && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == MARO && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == ISRA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == HONG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == TCHE && var72 == PARTCH ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == POLO && var72 == PARPOL ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == JAPO && var72 == FUJI ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == TAIW && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == AUST && var72 == KANG ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == URUG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == DAIB && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == DAIC && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == DAID && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == DAIF && var72 == VRMI ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == EUOR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == ME && var5 == CETI && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == FRAN && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == DOTO && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == ALLE && var72 == PARALL ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == AUTR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == BELG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == DANE && var72 == ODIN ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == ESPA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == FINL && var72 == ODIN ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == GRBR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == GREC && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == HOLL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == IRLA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == ISLA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == ITAL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == NORV && var72 == ODIN ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == PORT && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == SUED && var72 == ODIN ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == SUIS && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == YOUG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == MAGH && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == TURQ && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == ARGE && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == BRES && var72 == PARBRE ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == CHIL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == COLO && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == AFSU && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == MARO && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == ISRA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == HONG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == TCHE && var72 == PARTCH ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == POLO && var72 == PARPOL ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == JAPO && var72 == FUJI ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == TAIW && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == AUST && var72 == KANG ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == URUG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == DAIB && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == DAIC && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == DAID && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == DAIF && var72 == VRMI ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == EUOR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MF && var5 == CETI && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == FRAN && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == DOTO && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == ALLE && var72 == PARALL ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == AUTR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == BELG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == DANE && var72 == ODIN ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == ESPA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == FINL && var72 == ODIN ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == GRBR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == GREC && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == HOLL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == IRLA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == ISLA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == ITAL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == NORV && var72 == ODIN ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == PORT && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == SUED && var72 == ODIN ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == SUIS && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == YOUG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == MAGH && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == TURQ && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == ARGE && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == BRES && var72 == PARBRE ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == CHIL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == COLO && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == AFSU && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == MARO && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == ISRA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == HONG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == TCHE && var72 == PARTCH ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == POLO && var72 == PARPOL ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == JAPO && var72 == FUJI ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == TAIW && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == AUST && var72 == KANG ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == URUG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == DAIB && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == DAIC && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == DAID && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == DAIF && var72 == VRMI ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == EUOR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MJ && var5 == CETI && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == FRAN && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == DOTO && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == ALLE && var72 == PARALL ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == AUTR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == BELG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == DANE && var72 == ODIN ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == ESPA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == FINL && var72 == ODIN ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == GRBR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == GREC && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == HOLL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == IRLA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == ISLA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == ITAL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == NORV && var72 == ODIN ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == PORT && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == SUED && var72 == ODIN ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == SUIS && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == YOUG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == MAGH && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == TURQ && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == ARGE && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == BRES && var72 == PARBRE ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == CHIL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == COLO && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == AFSU && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == MARO && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == ISRA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == HONG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == TCHE && var72 == PARTCH ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == POLO && var72 == PARPOL ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == JAPO && var72 == FUJI ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == TAIW && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == AUST && var72 == KANG ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == URUG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == DAIB && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == DAIC && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == DAID && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == DAIF && var72 == VRMI ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == EUOR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MK && var5 == CETI && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == FRAN && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == DOTO && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == ALLE && var72 == PARALL ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == AUTR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == BELG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == DANE && var72 == ODIN ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == ESPA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == FINL && var72 == ODIN ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == GRBR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == GREC && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == HOLL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == IRLA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == ISLA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == ITAL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == NORV && var72 == ODIN ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == PORT && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == SUED && var72 == ODIN ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == SUIS && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == YOUG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == MAGH && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == TURQ && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == ARGE && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == BRES && var72 == PARBRE ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == CHIL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == COLO && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == AFSU && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == MARO && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == ISRA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == HONG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == TCHE && var72 == PARTCH ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == POLO && var72 == PARPOL ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == JAPO && var72 == FUJI ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == TAIW && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == AUST && var72 == KANG ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == URUG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == DAIB && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == DAIC && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == DAID && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == DAIF && var72 == VRMI ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == EUOR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == ML && var5 == CETI && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == FRAN && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == DOTO && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == ALLE && var72 == PARALL ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == AUTR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == BELG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == DANE && var72 == ODIN ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == ESPA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == FINL && var72 == ODIN ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == GRBR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == GREC && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == HOLL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == IRLA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == ISLA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == ITAL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == NORV && var72 == ODIN ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == PORT && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == SUED && var72 == ODIN ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == SUIS && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == YOUG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == MAGH && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == TURQ && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == ARGE && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == BRES && var72 == PARBRE ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == CHIL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == COLO && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == AFSU && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == MARO && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == ISRA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == HONG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == TCHE && var72 == PARTCH ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == POLO && var72 == PARPOL ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == JAPO && var72 == FUJI ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == TAIW && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == AUST && var72 == KANG ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == URUG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == DAIB && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == DAIC && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == DAID && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == DAIF && var72 == VRMI ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == EUOR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MM && var5 == CETI && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == MS && var5 == FRAN && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MS && var5 == DOTO && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MS && var5 == ALLE && var72 == PARALL ) || ( var1 == D64 && var2 == E3 && var3 == MS && var5 == AUTR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MS && var5 == BELG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MS && var5 == DANE && var72 == ODIN ) || ( var1 == D64 && var2 == E3 && var3 == MS && var5 == ESPA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MS && var5 == FINL && var72 == ODIN ) || ( var1 == D64 && var2 == E3 && var3 == MS && var5 == GRBR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MS && var5 == GREC && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MS && var5 == HOLL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MS && var5 == IRLA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MS && var5 == ISLA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MS && var5 == ITAL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MS && var5 == NORV && var72 == ODIN ) || ( var1 == D64 && var2 == E3 && var3 == MS && var5 == PORT && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MS && var5 == SUED && var72 == ODIN ) || ( var1 == D64 && var2 == E3 && var3 == MS && var5 == SUIS && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MS && var5 == YOUG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MS && var5 == MAGH && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == MS && var5 == TURQ && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == MS && var5 == ARGE && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == MS && var5 == BRES && var72 == PARBRE ) || ( var1 == D64 && var2 == E3 && var3 == MS && var5 == CHIL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MS && var5 == COLO && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == MS && var5 == AFSU && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MS && var5 == MARO && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == MS && var5 == ISRA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MS && var5 == HONG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MS && var5 == TCHE && var72 == PARTCH ) || ( var1 == D64 && var2 == E3 && var3 == MS && var5 == POLO && var72 == PARPOL ) || ( var1 == D64 && var2 == E3 && var3 == MS && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MS && var5 == JAPO && var72 == FUJI ) || ( var1 == D64 && var2 == E3 && var3 == MS && var5 == TAIW && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MS && var5 == AUST && var72 == KANG ) || ( var1 == D64 && var2 == E3 && var3 == MS && var5 == URUG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MS && var5 == DAIB && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == MS && var5 == DAIC && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == MS && var5 == DAID && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MS && var5 == DAIF && var72 == VRMI ) || ( var1 == D64 && var2 == E3 && var3 == MS && var5 == EUOR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MS && var5 == CETI && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == FRAN && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == DOTO && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == ALLE && var72 == PARALL ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == AUTR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == BELG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == DANE && var72 == ODIN ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == ESPA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == FINL && var72 == ODIN ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == GRBR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == GREC && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == HOLL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == IRLA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == ISLA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == ITAL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == NORV && var72 == ODIN ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == PORT && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == SUED && var72 == ODIN ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == SUIS && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == YOUG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == MAGH && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == TURQ && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == ARGE && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == BRES && var72 == PARBRE ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == CHIL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == COLO && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == AFSU && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == MARO && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == ISRA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == HONG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == TCHE && var72 == PARTCH ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == POLO && var72 == PARPOL ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == JAPO && var72 == FUJI ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == TAIW && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == AUST && var72 == KANG ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == URUG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == DAIB && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == DAIC && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == DAID && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == DAIF && var72 == VRMI ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == EUOR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MT && var5 == CETI && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == MU && var5 == FRAN && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MU && var5 == DOTO && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MU && var5 == ALLE && var72 == PARALL ) || ( var1 == D64 && var2 == E3 && var3 == MU && var5 == AUTR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MU && var5 == BELG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MU && var5 == DANE && var72 == ODIN ) || ( var1 == D64 && var2 == E3 && var3 == MU && var5 == ESPA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MU && var5 == FINL && var72 == ODIN ) || ( var1 == D64 && var2 == E3 && var3 == MU && var5 == GRBR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MU && var5 == GREC && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MU && var5 == HOLL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MU && var5 == IRLA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MU && var5 == ISLA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MU && var5 == ITAL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MU && var5 == NORV && var72 == ODIN ) || ( var1 == D64 && var2 == E3 && var3 == MU && var5 == PORT && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MU && var5 == SUED && var72 == ODIN ) || ( var1 == D64 && var2 == E3 && var3 == MU && var5 == SUIS && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MU && var5 == YOUG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MU && var5 == MAGH && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == MU && var5 == TURQ && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == MU && var5 == ARGE && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == MU && var5 == BRES && var72 == PARBRE ) || ( var1 == D64 && var2 == E3 && var3 == MU && var5 == CHIL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MU && var5 == COLO && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == MU && var5 == AFSU && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MU && var5 == MARO && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == MU && var5 == ISRA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MU && var5 == HONG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MU && var5 == TCHE && var72 == PARTCH ) || ( var1 == D64 && var2 == E3 && var3 == MU && var5 == POLO && var72 == PARPOL ) || ( var1 == D64 && var2 == E3 && var3 == MU && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MU && var5 == JAPO && var72 == FUJI ) || ( var1 == D64 && var2 == E3 && var3 == MU && var5 == TAIW && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MU && var5 == AUST && var72 == KANG ) || ( var1 == D64 && var2 == E3 && var3 == MU && var5 == URUG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MU && var5 == DAIB && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == MU && var5 == DAIC && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == MU && var5 == DAID && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MU && var5 == DAIF && var72 == VRMI ) || ( var1 == D64 && var2 == E3 && var3 == MU && var5 == EUOR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MU && var5 == CETI && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == FRAN && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == DOTO && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == ALLE && var72 == PARALL ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == AUTR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == BELG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == DANE && var72 == ODIN ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == ESPA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == FINL && var72 == ODIN ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == GRBR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == GREC && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == HOLL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == IRLA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == ISLA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == ITAL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == NORV && var72 == ODIN ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == PORT && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == SUED && var72 == ODIN ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == SUIS && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == YOUG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == MAGH && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == TURQ && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == ARGE && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == BRES && var72 == PARBRE ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == CHIL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == COLO && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == AFSU && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == MARO && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == ISRA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == HONG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == TCHE && var72 == PARTCH ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == POLO && var72 == PARPOL ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == JAPO && var72 == FUJI ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == TAIW && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == AUST && var72 == KANG ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == URUG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == DAIB && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == DAIC && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == DAID && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == DAIF && var72 == VRMI ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == EUOR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MN && var5 == CETI && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == FRAN && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == DOTO && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == ALLE && var72 == PARALL ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == AUTR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == BELG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == DANE && var72 == ODIN ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == ESPA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == FINL && var72 == ODIN ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == GRBR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == GREC && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == HOLL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == IRLA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == ISLA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == ITAL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == NORV && var72 == ODIN ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == PORT && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == SUED && var72 == ODIN ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == SUIS && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == YOUG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == MAGH && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == TURQ && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == ARGE && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == BRES && var72 == PARBRE ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == CHIL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == COLO && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == AFSU && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == MARO && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == ISRA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == HONG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == TCHE && var72 == PARTCH ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == POLO && var72 == PARPOL ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == JAPO && var72 == FUJI ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == TAIW && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == AUST && var72 == KANG ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == URUG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == DAIB && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == DAIC && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == DAID && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == DAIF && var72 == VRMI ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == EUOR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MH && var5 == CETI && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == FRAN && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == DOTO && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == ALLE && var72 == PARALL ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == AUTR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == BELG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == DANE && var72 == ODIN ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == ESPA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == FINL && var72 == ODIN ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == GRBR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == GREC && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == HOLL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == IRLA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == ISLA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == ITAL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == NORV && var72 == ODIN ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == PORT && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == SUED && var72 == ODIN ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == SUIS && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == YOUG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == MAGH && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == TURQ && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == ARGE && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == BRES && var72 == PARBRE ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == CHIL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == COLO && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == AFSU && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == MARO && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == ISRA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == HONG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == TCHE && var72 == PARTCH ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == POLO && var72 == PARPOL ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == JAPO && var72 == FUJI ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == TAIW && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == AUST && var72 == KANG ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == URUG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == DAIB && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == DAIC && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == DAID && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == DAIF && var72 == VRMI ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == EUOR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MG && var5 == CETI && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == FRAN && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == DOTO && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == ALLE && var72 == PARALL ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == AUTR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == BELG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == DANE && var72 == ODIN ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == ESPA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == FINL && var72 == ODIN ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == GRBR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == GREC && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == HOLL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == IRLA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == ISLA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == ITAL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == NORV && var72 == ODIN ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == PORT && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == SUED && var72 == ODIN ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == SUIS && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == YOUG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == MAGH && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == TURQ && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == ARGE && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == BRES && var72 == PARBRE ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == CHIL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == COLO && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == AFSU && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == MARO && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == ISRA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == HONG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == TCHE && var72 == PARTCH ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == POLO && var72 == PARPOL ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == JAPO && var72 == FUJI ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == TAIW && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == AUST && var72 == KANG ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == URUG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == DAIB && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == DAIC && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == DAID && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == DAIF && var72 == VRMI ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == EUOR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == MY && var5 == CETI && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == FRAN && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == DOTO && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == ALLE && var72 == PARALL ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == AUTR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == BELG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == DANE && var72 == ODIN ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == ESPA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == FINL && var72 == ODIN ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == GRBR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == GREC && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == HOLL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == IRLA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == ISLA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == ITAL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == NORV && var72 == ODIN ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == PORT && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == SUED && var72 == ODIN ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == SUIS && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == YOUG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == MAGH && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == TURQ && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == ARGE && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == BRES && var72 == PARBRE ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == CHIL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == COLO && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == AFSU && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == MARO && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == ISRA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == HONG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == TCHE && var72 == PARTCH ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == POLO && var72 == PARPOL ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == JAPO && var72 == FUJI ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == TAIW && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == AUST && var72 == KANG ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == URUG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == DAIB && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == DAIC && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == DAID && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == DAIF && var72 == VRMI ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == EUOR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == NM2K && var5 == CETI && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == FRAN && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == DOTO && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == ALLE && var72 == PARALL ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == AUTR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == BELG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == DANE && var72 == ODIN ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == ESPA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == FINL && var72 == ODIN ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == GRBR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == GREC && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == HOLL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == IRLA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == ISLA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == ITAL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == NORV && var72 == ODIN ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == PORT && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == SUED && var72 == ODIN ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == SUIS && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == YOUG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == MAGH && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == TURQ && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == ARGE && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == BRES && var72 == PARBRE ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == CHIL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == COLO && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == AFSU && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == MARO && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == ISRA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == HONG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == TCHE && var72 == PARTCH ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == POLO && var72 == PARPOL ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == JAPO && var72 == FUJI ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == TAIW && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == AUST && var72 == KANG ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == URUG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == DAIB && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == DAIC && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == DAID && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == DAIF && var72 == VRMI ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == EUOR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == NM0C && var5 == CETI && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == FRAN && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == DOTO && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == ALLE && var72 == PARALL ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == AUTR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == BELG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == DANE && var72 == ODIN ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == ESPA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == FINL && var72 == ODIN ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == GRBR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == GREC && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == HOLL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == IRLA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == ISLA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == ITAL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == NORV && var72 == ODIN ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == PORT && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == SUED && var72 == ODIN ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == SUIS && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == YOUG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == MAGH && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == TURQ && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == ARGE && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == BRES && var72 == PARBRE ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == CHIL && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == COLO && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == AFSU && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == MARO && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == ISRA && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == HONG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == TCHE && var72 == PARTCH ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == POLO && var72 == PARPOL ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == JAPO && var72 == FUJI ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == TAIW && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == AUST && var72 == KANG ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == URUG && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == DAIB && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == DAIC && var72 == DUCA ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == DAID && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == DAIF && var72 == VRMI ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == EUOR && var72 == SSEDNC ) || ( var1 == D64 && var2 == E3 && var3 == ND1G && var5 == CETI && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == FRAN && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == DOTO && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == ALLE && var72 == PARALL ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == AUTR && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == BELG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == DANE && var72 == ODIN ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == ESPA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == FINL && var72 == ODIN ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == GRBR && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == GREC && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == HOLL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == IRLA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == ISLA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == ITAL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == NORV && var72 == ODIN ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == PORT && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == SUED && var72 == ODIN ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == SUIS && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == YOUG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == MAGH && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == TURQ && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == ARGE && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == BRES && var72 == PARBRE ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == CHIL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == COLO && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == AFSU && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == MARO && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == ISRA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == HONG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == TCHE && var72 == PARTCH ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == POLO && var72 == PARPOL ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == JAPO && var72 == FUJI ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == TAIW && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == AUST && var72 == KANG ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == URUG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == DAIB && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == DAIC && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == DAID && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == DAIF && var72 == VRMI ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == EUOR && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == M5 && var5 == CETI && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == FRAN && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == DOTO && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == ALLE && var72 == PARALL ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == AUTR && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == BELG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == DANE && var72 == ODIN ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == ESPA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == FINL && var72 == ODIN ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == GRBR && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == GREC && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == HOLL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == IRLA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == ISLA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == ITAL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == NORV && var72 == ODIN ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == PORT && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == SUED && var72 == ODIN ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == SUIS && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == YOUG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == MAGH && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == TURQ && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == ARGE && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == BRES && var72 == PARBRE ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == CHIL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == COLO && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == AFSU && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == MARO && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == ISRA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == HONG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == TCHE && var72 == PARTCH ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == POLO && var72 == PARPOL ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == JAPO && var72 == FUJI ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == TAIW && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == AUST && var72 == KANG ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == URUG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == DAIB && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == DAIC && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == DAID && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == DAIF && var72 == VRMI ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == EUOR && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == M6 && var5 == CETI && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == FRAN && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == DOTO && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == ALLE && var72 == PARALL ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == AUTR && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == BELG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == DANE && var72 == ODIN ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == ESPA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == FINL && var72 == ODIN ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == GRBR && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == GREC && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == HOLL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == IRLA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == ISLA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == ITAL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == NORV && var72 == ODIN ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == PORT && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == SUED && var72 == ODIN ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == SUIS && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == YOUG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == MAGH && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == TURQ && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == ARGE && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == BRES && var72 == PARBRE ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == CHIL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == COLO && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == AFSU && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == MARO && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == ISRA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == HONG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == TCHE && var72 == PARTCH ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == POLO && var72 == PARPOL ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == JAPO && var72 == FUJI ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == TAIW && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == AUST && var72 == KANG ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == URUG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == DAIB && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == DAIC && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == DAID && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == DAIF && var72 == VRMI ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == EUOR && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == M7 && var5 == CETI && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == FRAN && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == DOTO && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == ALLE && var72 == PARALL ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == AUTR && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == BELG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == DANE && var72 == ODIN ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == ESPA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == FINL && var72 == ODIN ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == GRBR && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == GREC && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == HOLL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == IRLA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == ISLA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == ITAL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == NORV && var72 == ODIN ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == PORT && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == SUED && var72 == ODIN ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == SUIS && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == YOUG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == MAGH && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == TURQ && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == ARGE && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == BRES && var72 == PARBRE ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == CHIL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == COLO && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == AFSU && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == MARO && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == ISRA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == HONG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == TCHE && var72 == PARTCH ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == POLO && var72 == PARPOL ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == JAPO && var72 == FUJI ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == TAIW && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == AUST && var72 == KANG ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == URUG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == DAIB && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == DAIC && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == DAID && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == DAIF && var72 == VRMI ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == EUOR && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == M8 && var5 == CETI && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == FRAN && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == DOTO && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == ALLE && var72 == PARALL ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == AUTR && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == BELG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == DANE && var72 == ODIN ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == ESPA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == FINL && var72 == ODIN ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == GRBR && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == GREC && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == HOLL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == IRLA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == ISLA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == ITAL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == NORV && var72 == ODIN ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == PORT && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == SUED && var72 == ODIN ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == SUIS && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == YOUG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == MAGH && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == TURQ && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == ARGE && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == BRES && var72 == PARBRE ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == CHIL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == COLO && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == AFSU && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == MARO && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == ISRA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == HONG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == TCHE && var72 == PARTCH ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == POLO && var72 == PARPOL ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == JAPO && var72 == FUJI ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == TAIW && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == AUST && var72 == KANG ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == URUG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == DAIB && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == DAIC && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == DAID && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == DAIF && var72 == VRMI ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == EUOR && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == M9 && var5 == CETI && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == FRAN && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == DOTO && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == ALLE && var72 == PARALL ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == AUTR && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == BELG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == DANE && var72 == ODIN ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == ESPA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == FINL && var72 == ODIN ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == GRBR && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == GREC && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == HOLL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == IRLA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == ISLA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == ITAL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == NORV && var72 == ODIN ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == PORT && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == SUED && var72 == ODIN ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == SUIS && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == YOUG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == MAGH && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == TURQ && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == ARGE && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == BRES && var72 == PARBRE ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == CHIL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == COLO && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == AFSU && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == MARO && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == ISRA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == HONG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == TCHE && var72 == PARTCH ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == POLO && var72 == PARPOL ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == JAPO && var72 == FUJI ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == TAIW && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == AUST && var72 == KANG ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == URUG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == DAIB && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == DAIC && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == DAID && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == DAIF && var72 == VRMI ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == EUOR && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MA && var5 == CETI && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == FRAN && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == DOTO && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == ALLE && var72 == PARALL ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == AUTR && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == BELG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == DANE && var72 == ODIN ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == ESPA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == FINL && var72 == ODIN ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == GRBR && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == GREC && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == HOLL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == IRLA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == ISLA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == ITAL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == NORV && var72 == ODIN ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == PORT && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == SUED && var72 == ODIN ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == SUIS && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == YOUG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == MAGH && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == TURQ && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == ARGE && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == BRES && var72 == PARBRE ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == CHIL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == COLO && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == AFSU && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == MARO && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == ISRA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == HONG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == TCHE && var72 == PARTCH ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == POLO && var72 == PARPOL ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == JAPO && var72 == FUJI ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == TAIW && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == AUST && var72 == KANG ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == URUG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == DAIB && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == DAIC && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == DAID && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == DAIF && var72 == VRMI ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == EUOR && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MB && var5 == CETI && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == FRAN && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == DOTO && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == ALLE && var72 == PARALL ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == AUTR && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == BELG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == DANE && var72 == ODIN ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == ESPA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == FINL && var72 == ODIN ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == GRBR && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == GREC && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == HOLL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == IRLA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == ISLA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == ITAL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == NORV && var72 == ODIN ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == PORT && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == SUED && var72 == ODIN ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == SUIS && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == YOUG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == MAGH && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == TURQ && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == ARGE && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == BRES && var72 == PARBRE ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == CHIL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == COLO && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == AFSU && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == MARO && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == ISRA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == HONG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == TCHE && var72 == PARTCH ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == POLO && var72 == PARPOL ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == JAPO && var72 == FUJI ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == TAIW && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == AUST && var72 == KANG ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == URUG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == DAIB && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == DAIC && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == DAID && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == DAIF && var72 == VRMI ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == EUOR && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MC && var5 == CETI && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == FRAN && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == DOTO && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == ALLE && var72 == PARALL ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == AUTR && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == BELG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == DANE && var72 == ODIN ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == ESPA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == FINL && var72 == ODIN ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == GRBR && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == GREC && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == HOLL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == IRLA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == ISLA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == ITAL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == NORV && var72 == ODIN ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == PORT && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == SUED && var72 == ODIN ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == SUIS && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == YOUG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == MAGH && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == TURQ && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == ARGE && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == BRES && var72 == PARBRE ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == CHIL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == COLO && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == AFSU && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == MARO && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == ISRA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == HONG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == TCHE && var72 == PARTCH ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == POLO && var72 == PARPOL ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == JAPO && var72 == FUJI ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == TAIW && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == AUST && var72 == KANG ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == URUG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == DAIB && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == DAIC && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == DAID && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == DAIF && var72 == VRMI ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == EUOR && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MD && var5 == CETI && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == FRAN && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == DOTO && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == ALLE && var72 == PARALL ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == AUTR && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == BELG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == DANE && var72 == ODIN ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == ESPA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == FINL && var72 == ODIN ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == GRBR && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == GREC && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == HOLL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == IRLA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == ISLA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == ITAL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == NORV && var72 == ODIN ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == PORT && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == SUED && var72 == ODIN ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == SUIS && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == YOUG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == MAGH && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == TURQ && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == ARGE && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == BRES && var72 == PARBRE ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == CHIL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == COLO && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == AFSU && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == MARO && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == ISRA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == HONG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == TCHE && var72 == PARTCH ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == POLO && var72 == PARPOL ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == JAPO && var72 == FUJI ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == TAIW && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == AUST && var72 == KANG ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == URUG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == DAIB && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == DAIC && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == DAID && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == DAIF && var72 == VRMI ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == EUOR && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == ME && var5 == CETI && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == FRAN && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == DOTO && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == ALLE && var72 == PARALL ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == AUTR && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == BELG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == DANE && var72 == ODIN ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == ESPA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == FINL && var72 == ODIN ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == GRBR && var72 == PARGBR ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == GREC && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == HOLL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == IRLA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == ISLA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == ITAL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == NORV && var72 == ODIN ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == PORT && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == SUED && var72 == ODIN ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == SUIS && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == YOUG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == MAGH && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == TURQ && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == ARGE && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == BRES && var72 == PARBRE ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == CHIL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == COLO && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == AFSU && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == MARO && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == ISRA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == HONG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == TCHE && var72 == PARTCH ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == POLO && var72 == PARPOL ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == JAPO && var72 == FUJI ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == TAIW && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == AUST && var72 == KANG ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == URUG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == DAIB && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == DAIC && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == DAID && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == DAIF && var72 == VRMI ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == EUOR && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MF && var5 == CETI && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == FRAN && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == DOTO && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == ALLE && var72 == PARALL ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == AUTR && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == BELG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == DANE && var72 == ODIN ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == ESPA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == FINL && var72 == ODIN ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == GRBR && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == GREC && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == HOLL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == IRLA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == ISLA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == ITAL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == NORV && var72 == ODIN ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == PORT && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == SUED && var72 == ODIN ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == SUIS && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == YOUG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == MAGH && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == TURQ && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == ARGE && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == BRES && var72 == PARBRE ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == CHIL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == COLO && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == AFSU && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == MARO && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == ISRA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == HONG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == TCHE && var72 == PARTCH ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == POLO && var72 == PARPOL ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == JAPO && var72 == FUJI ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == TAIW && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == AUST && var72 == KANG ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == URUG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == DAIB && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == DAIC && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == DAID && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == DAIF && var72 == VRMI ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == EUOR && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MJ && var5 == CETI && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == FRAN && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == DOTO && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == ALLE && var72 == PARALL ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == AUTR && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == BELG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == DANE && var72 == ODIN ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == ESPA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == FINL && var72 == ODIN ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == GRBR && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == GREC && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == HOLL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == IRLA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == ISLA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == ITAL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == NORV && var72 == ODIN ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == PORT && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == SUED && var72 == ODIN ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == SUIS && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == YOUG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == MAGH && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == TURQ && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == ARGE && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == BRES && var72 == PARBRE ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == CHIL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == COLO && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == AFSU && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == MARO && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == ISRA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == HONG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == TCHE && var72 == PARTCH ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == POLO && var72 == PARPOL ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == JAPO && var72 == FUJI ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == TAIW && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == AUST && var72 == KANG ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == URUG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == DAIB && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == DAIC && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == DAID && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == DAIF && var72 == VRMI ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == EUOR && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MK && var5 == CETI && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == FRAN && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == DOTO && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == ALLE && var72 == PARALL ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == AUTR && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == BELG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == DANE && var72 == ODIN ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == ESPA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == FINL && var72 == ODIN ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == GRBR && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == GREC && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == HOLL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == IRLA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == ISLA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == ITAL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == NORV && var72 == ODIN ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == PORT && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == SUED && var72 == ODIN ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == SUIS && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == YOUG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == MAGH && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == TURQ && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == ARGE && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == BRES && var72 == PARBRE ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == CHIL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == COLO && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == AFSU && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == MARO && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == ISRA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == HONG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == TCHE && var72 == PARTCH ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == POLO && var72 == PARPOL ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == JAPO && var72 == FUJI ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == TAIW && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == AUST && var72 == KANG ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == URUG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == DAIB && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == DAIC && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == DAID && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == DAIF && var72 == VRMI ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == EUOR && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == ML && var5 == CETI && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == FRAN && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == DOTO && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == ALLE && var72 == PARALL ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == AUTR && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == BELG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == DANE && var72 == ODIN ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == ESPA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == FINL && var72 == ODIN ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == GRBR && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == GREC && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == HOLL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == IRLA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == ISLA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == ITAL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == NORV && var72 == ODIN ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == PORT && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == SUED && var72 == ODIN ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == SUIS && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == YOUG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == MAGH && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == TURQ && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == ARGE && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == BRES && var72 == PARBRE ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == CHIL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == COLO && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == AFSU && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == MARO && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == ISRA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == HONG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == TCHE && var72 == PARTCH ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == POLO && var72 == PARPOL ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == JAPO && var72 == FUJI ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == TAIW && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == AUST && var72 == KANG ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == URUG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == DAIB && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == DAIC && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == DAID && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == DAIF && var72 == VRMI ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == EUOR && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MM && var5 == CETI && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == FRAN && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == DOTO && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == ALLE && var72 == PARALL ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == AUTR && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == BELG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == DANE && var72 == ODIN ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == ESPA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == FINL && var72 == ODIN ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == GRBR && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == GREC && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == HOLL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == IRLA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == ISLA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == ITAL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == NORV && var72 == ODIN ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == PORT && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == SUED && var72 == ODIN ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == SUIS && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == YOUG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == MAGH && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == TURQ && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == ARGE && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == BRES && var72 == PARBRE ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == CHIL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == COLO && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == AFSU && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == MARO && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == ISRA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == HONG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == TCHE && var72 == PARTCH ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == POLO && var72 == PARPOL ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == JAPO && var72 == FUJI ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == TAIW && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == AUST && var72 == KANG ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == URUG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == DAIB && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == DAIC && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == DAID && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == DAIF && var72 == VRMI ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == EUOR && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MS && var5 == CETI && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == FRAN && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == DOTO && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == ALLE && var72 == PARALL ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == AUTR && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == BELG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == DANE && var72 == ODIN ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == ESPA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == FINL && var72 == ODIN ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == GRBR && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == GREC && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == HOLL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == IRLA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == ISLA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == ITAL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == NORV && var72 == ODIN ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == PORT && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == SUED && var72 == ODIN ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == SUIS && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == YOUG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == MAGH && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == TURQ && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == ARGE && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == BRES && var72 == PARBRE ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == CHIL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == COLO && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == AFSU && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == MARO && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == ISRA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == HONG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == TCHE && var72 == PARTCH ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == POLO && var72 == PARPOL ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == JAPO && var72 == FUJI ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == TAIW && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == AUST && var72 == KANG ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == URUG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == DAIB && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == DAIC && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == DAID && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == DAIF && var72 == VRMI ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == EUOR && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MT && var5 == CETI && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == FRAN && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == DOTO && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == ALLE && var72 == PARALL ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == AUTR && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == BELG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == DANE && var72 == ODIN ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == ESPA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == FINL && var72 == ODIN ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == GRBR && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == GREC && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == HOLL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == IRLA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == ISLA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == ITAL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == NORV && var72 == ODIN ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == PORT && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == SUED && var72 == ODIN ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == SUIS && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == YOUG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == MAGH && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == TURQ && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == ARGE && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == BRES && var72 == PARBRE ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == CHIL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == COLO && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == AFSU && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == MARO && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == ISRA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == HONG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == TCHE && var72 == PARTCH ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == POLO && var72 == PARPOL ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == JAPO && var72 == FUJI ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == TAIW && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == AUST && var72 == KANG ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == URUG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == DAIB && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == DAIC && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == DAID && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == DAIF && var72 == VRMI ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == EUOR && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MU && var5 == CETI && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == FRAN && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == DOTO && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == ALLE && var72 == PARALL ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == AUTR && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == BELG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == DANE && var72 == ODIN ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == ESPA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == FINL && var72 == ODIN ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == GRBR && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == GREC && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == HOLL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == IRLA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == ISLA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == ITAL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == NORV && var72 == ODIN ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == PORT && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == SUED && var72 == ODIN ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == SUIS && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == YOUG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == MAGH && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == TURQ && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == ARGE && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == BRES && var72 == PARBRE ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == CHIL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == COLO && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == AFSU && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == MARO && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == ISRA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == HONG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == TCHE && var72 == PARTCH ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == POLO && var72 == PARPOL ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == JAPO && var72 == FUJI ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == TAIW && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == AUST && var72 == KANG ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == URUG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == DAIB && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == DAIC && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == DAID && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == DAIF && var72 == VRMI ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == EUOR && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MN && var5 == CETI && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == FRAN && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == DOTO && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == ALLE && var72 == PARALL ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == AUTR && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == BELG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == DANE && var72 == ODIN ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == ESPA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == FINL && var72 == ODIN ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == GRBR && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == GREC && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == HOLL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == IRLA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == ISLA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == ITAL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == NORV && var72 == ODIN ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == PORT && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == SUED && var72 == ODIN ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == SUIS && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == YOUG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == MAGH && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == TURQ && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == ARGE && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == BRES && var72 == PARBRE ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == CHIL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == COLO && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == AFSU && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == MARO && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == ISRA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == HONG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == TCHE && var72 == PARTCH ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == POLO && var72 == PARPOL ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == JAPO && var72 == FUJI ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == TAIW && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == AUST && var72 == KANG ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == URUG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == DAIB && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == DAIC && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == DAID && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == DAIF && var72 == VRMI ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == EUOR && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MH && var5 == CETI && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == FRAN && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == DOTO && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == ALLE && var72 == PARALL ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == AUTR && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == BELG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == DANE && var72 == ODIN ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == ESPA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == FINL && var72 == ODIN ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == GRBR && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == GREC && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == HOLL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == IRLA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == ISLA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == ITAL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == NORV && var72 == ODIN ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == PORT && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == SUED && var72 == ODIN ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == SUIS && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == YOUG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == MAGH && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == TURQ && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == ARGE && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == BRES && var72 == PARBRE ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == CHIL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == COLO && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == AFSU && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == MARO && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == ISRA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == HONG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == TCHE && var72 == PARTCH ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == POLO && var72 == PARPOL ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == JAPO && var72 == FUJI ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == TAIW && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == AUST && var72 == KANG ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == URUG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == DAIB && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == DAIC && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == DAID && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == DAIF && var72 == VRMI ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == EUOR && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MG && var5 == CETI && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == FRAN && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == DOTO && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == ALLE && var72 == PARALL ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == AUTR && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == BELG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == DANE && var72 == ODIN ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == ESPA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == FINL && var72 == ODIN ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == GRBR && var72 == PARGBR ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == GREC && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == HOLL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == IRLA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == ISLA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == ITAL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == NORV && var72 == ODIN ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == PORT && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == SUED && var72 == ODIN ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == SUIS && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == YOUG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == MAGH && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == TURQ && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == ARGE && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == BRES && var72 == PARBRE ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == CHIL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == COLO && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == AFSU && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == MARO && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == ISRA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == HONG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == TCHE && var72 == PARTCH ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == POLO && var72 == PARPOL ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == JAPO && var72 == FUJI ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == TAIW && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == AUST && var72 == KANG ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == URUG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == DAIB && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == DAIC && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == DAID && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == DAIF && var72 == VRMI ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == EUOR && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == MY && var5 == CETI && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == FRAN && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == DOTO && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == ALLE && var72 == PARALL ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == AUTR && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == BELG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == DANE && var72 == ODIN ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == ESPA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == FINL && var72 == ODIN ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == GRBR && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == GREC && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == HOLL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == IRLA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == ISLA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == ITAL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == NORV && var72 == ODIN ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == PORT && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == SUED && var72 == ODIN ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == SUIS && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == YOUG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == MAGH && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == TURQ && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == ARGE && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == BRES && var72 == PARBRE ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == CHIL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == COLO && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == AFSU && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == MARO && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == ISRA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == HONG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == TCHE && var72 == PARTCH ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == POLO && var72 == PARPOL ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == JAPO && var72 == FUJI ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == TAIW && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == AUST && var72 == KANG ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == URUG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == DAIB && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == DAIC && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == DAID && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == DAIF && var72 == VRMI ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == EUOR && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == NM2K && var5 == CETI && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == FRAN && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == DOTO && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == ALLE && var72 == PARALL ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == AUTR && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == BELG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == DANE && var72 == ODIN ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == ESPA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == FINL && var72 == ODIN ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == GRBR && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == GREC && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == HOLL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == IRLA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == ISLA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == ITAL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == NORV && var72 == ODIN ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == PORT && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == SUED && var72 == ODIN ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == SUIS && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == YOUG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == MAGH && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == TURQ && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == ARGE && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == BRES && var72 == PARBRE ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == CHIL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == COLO && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == AFSU && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == MARO && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == ISRA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == HONG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == TCHE && var72 == PARTCH ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == POLO && var72 == PARPOL ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == JAPO && var72 == FUJI ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == TAIW && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == AUST && var72 == KANG ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == URUG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == DAIB && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == DAIC && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == DAID && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == DAIF && var72 == VRMI ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == EUOR && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == NM0C && var5 == CETI && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == FRAN && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == DOTO && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == ALLE && var72 == PARALL ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == AUTR && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == BELG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == DANE && var72 == ODIN ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == ESPA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == FINL && var72 == ODIN ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == GRBR && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == GREC && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == HOLL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == IRLA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == ISLA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == ITAL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == NORV && var72 == ODIN ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == PORT && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == SUED && var72 == ODIN ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == SUIS && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == YOUG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == MAGH && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == TURQ && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == ARGE && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == BRES && var72 == PARBRE ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == CHIL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == COLO && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == AFSU && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == MARO && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == ISRA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == HONG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == TCHE && var72 == PARTCH ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == POLO && var72 == PARPOL ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == JAPO && var72 == FUJI ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == TAIW && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == AUST && var72 == KANG ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == URUG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == DAIB && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == DAIC && var72 == DUCA ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == DAID && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == DAIF && var72 == VRMI ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == EUOR && var72 == SSEDNC ) || ( var1 == J64 && var2 == E2 && var3 == ND1G && var5 == CETI && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == FRAN && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == DOTO && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == ALLE && var72 == PARALL ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == AUTR && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == BELG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == DANE && var72 == ODIN ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == ESPA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == FINL && var72 == ODIN ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == GRBR && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == GREC && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == HOLL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == IRLA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == ISLA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == ITAL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == NORV && var72 == ODIN ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == PORT && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == SUED && var72 == ODIN ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == SUIS && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == YOUG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == MAGH && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == TURQ && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == ARGE && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == BRES && var72 == PARBRE ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == CHIL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == COLO && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == AFSU && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == MARO && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == ISRA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == HONG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == TCHE && var72 == PARTCH ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == POLO && var72 == PARPOL ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == JAPO && var72 == FUJI ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == TAIW && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == AUST && var72 == KANG ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == URUG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == DAIB && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == DAIC && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == DAID && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == DAIF && var72 == VRMI ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == EUOR && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == M5 && var5 == CETI && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == FRAN && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == DOTO && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == ALLE && var72 == PARALL ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == AUTR && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == BELG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == DANE && var72 == ODIN ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == ESPA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == FINL && var72 == ODIN ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == GRBR && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == GREC && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == HOLL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == IRLA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == ISLA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == ITAL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == NORV && var72 == ODIN ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == PORT && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == SUED && var72 == ODIN ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == SUIS && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == YOUG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == MAGH && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == TURQ && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == ARGE && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == BRES && var72 == PARBRE ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == CHIL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == COLO && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == AFSU && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == MARO && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == ISRA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == HONG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == TCHE && var72 == PARTCH ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == POLO && var72 == PARPOL ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == JAPO && var72 == FUJI ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == TAIW && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == AUST && var72 == KANG ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == URUG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == DAIB && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == DAIC && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == DAID && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == DAIF && var72 == VRMI ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == EUOR && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == M6 && var5 == CETI && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == FRAN && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == DOTO && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == ALLE && var72 == PARALL ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == AUTR && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == BELG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == DANE && var72 == ODIN ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == ESPA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == FINL && var72 == ODIN ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == GRBR && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == GREC && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == HOLL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == IRLA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == ISLA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == ITAL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == NORV && var72 == ODIN ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == PORT && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == SUED && var72 == ODIN ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == SUIS && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == YOUG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == MAGH && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == TURQ && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == ARGE && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == BRES && var72 == PARBRE ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == CHIL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == COLO && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == AFSU && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == MARO && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == ISRA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == HONG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == TCHE && var72 == PARTCH ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == POLO && var72 == PARPOL ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == JAPO && var72 == FUJI ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == TAIW && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == AUST && var72 == KANG ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == URUG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == DAIB && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == DAIC && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == DAID && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == DAIF && var72 == VRMI ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == EUOR && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == M7 && var5 == CETI && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == FRAN && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == DOTO && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == ALLE && var72 == PARALL ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == AUTR && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == BELG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == DANE && var72 == ODIN ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == ESPA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == FINL && var72 == ODIN ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == GRBR && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == GREC && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == HOLL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == IRLA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == ISLA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == ITAL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == NORV && var72 == ODIN ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == PORT && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == SUED && var72 == ODIN ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == SUIS && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == YOUG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == MAGH && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == TURQ && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == ARGE && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == BRES && var72 == PARBRE ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == CHIL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == COLO && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == AFSU && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == MARO && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == ISRA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == HONG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == TCHE && var72 == PARTCH ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == POLO && var72 == PARPOL ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == JAPO && var72 == FUJI ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == TAIW && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == AUST && var72 == KANG ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == URUG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == DAIB && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == DAIC && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == DAID && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == DAIF && var72 == VRMI ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == EUOR && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == M8 && var5 == CETI && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == FRAN && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == DOTO && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == ALLE && var72 == PARALL ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == AUTR && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == BELG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == DANE && var72 == ODIN ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == ESPA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == FINL && var72 == ODIN ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == GRBR && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == GREC && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == HOLL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == IRLA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == ISLA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == ITAL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == NORV && var72 == ODIN ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == PORT && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == SUED && var72 == ODIN ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == SUIS && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == YOUG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == MAGH && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == TURQ && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == ARGE && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == BRES && var72 == PARBRE ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == CHIL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == COLO && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == AFSU && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == MARO && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == ISRA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == HONG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == TCHE && var72 == PARTCH ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == POLO && var72 == PARPOL ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == JAPO && var72 == FUJI ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == TAIW && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == AUST && var72 == KANG ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == URUG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == DAIB && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == DAIC && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == DAID && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == DAIF && var72 == VRMI ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == EUOR && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == M9 && var5 == CETI && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == FRAN && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == DOTO && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == ALLE && var72 == PARALL ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == AUTR && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == BELG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == DANE && var72 == ODIN ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == ESPA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == FINL && var72 == ODIN ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == GRBR && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == GREC && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == HOLL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == IRLA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == ISLA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == ITAL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == NORV && var72 == ODIN ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == PORT && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == SUED && var72 == ODIN ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == SUIS && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == YOUG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == MAGH && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == TURQ && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == ARGE && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == BRES && var72 == PARBRE ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == CHIL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == COLO && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == AFSU && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == MARO && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == ISRA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == HONG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == TCHE && var72 == PARTCH ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == POLO && var72 == PARPOL ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == JAPO && var72 == FUJI ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == TAIW && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == AUST && var72 == KANG ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == URUG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == DAIB && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == DAIC && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == DAID && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == DAIF && var72 == VRMI ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == EUOR && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MA && var5 == CETI && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == FRAN && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == DOTO && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == ALLE && var72 == PARALL ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == AUTR && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == BELG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == DANE && var72 == ODIN ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == ESPA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == FINL && var72 == ODIN ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == GRBR && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == GREC && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == HOLL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == IRLA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == ISLA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == ITAL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == NORV && var72 == ODIN ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == PORT && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == SUED && var72 == ODIN ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == SUIS && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == YOUG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == MAGH && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == TURQ && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == ARGE && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == BRES && var72 == PARBRE ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == CHIL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == COLO && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == AFSU && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == MARO && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == ISRA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == HONG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == TCHE && var72 == PARTCH ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == POLO && var72 == PARPOL ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == JAPO && var72 == FUJI ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == TAIW && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == AUST && var72 == KANG ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == URUG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == DAIB && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == DAIC && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == DAID && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == DAIF && var72 == VRMI ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == EUOR && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MB && var5 == CETI && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == FRAN && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == DOTO && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == ALLE && var72 == PARALL ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == AUTR && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == BELG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == DANE && var72 == ODIN ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == ESPA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == FINL && var72 == ODIN ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == GRBR && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == GREC && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == HOLL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == IRLA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == ISLA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == ITAL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == NORV && var72 == ODIN ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == PORT && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == SUED && var72 == ODIN ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == SUIS && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == YOUG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == MAGH && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == TURQ && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == ARGE && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == BRES && var72 == PARBRE ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == CHIL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == COLO && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == AFSU && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == MARO && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == ISRA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == HONG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == TCHE && var72 == PARTCH ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == POLO && var72 == PARPOL ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == JAPO && var72 == FUJI ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == TAIW && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == AUST && var72 == KANG ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == URUG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == DAIB && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == DAIC && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == DAID && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == DAIF && var72 == VRMI ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == EUOR && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MC && var5 == CETI && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == FRAN && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == DOTO && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == ALLE && var72 == PARALL ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == AUTR && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == BELG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == DANE && var72 == ODIN ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == ESPA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == FINL && var72 == ODIN ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == GRBR && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == GREC && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == HOLL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == IRLA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == ISLA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == ITAL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == NORV && var72 == ODIN ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == PORT && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == SUED && var72 == ODIN ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == SUIS && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == YOUG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == MAGH && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == TURQ && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == ARGE && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == BRES && var72 == PARBRE ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == CHIL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == COLO && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == AFSU && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == MARO && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == ISRA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == HONG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == TCHE && var72 == PARTCH ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == POLO && var72 == PARPOL ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == JAPO && var72 == FUJI ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == TAIW && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == AUST && var72 == KANG ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == URUG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == DAIB && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == DAIC && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == DAID && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == DAIF && var72 == VRMI ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == EUOR && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MD && var5 == CETI && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == FRAN && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == DOTO && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == ALLE && var72 == PARALL ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == AUTR && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == BELG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == DANE && var72 == ODIN ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == ESPA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == FINL && var72 == ODIN ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == GRBR && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == GREC && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == HOLL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == IRLA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == ISLA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == ITAL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == NORV && var72 == ODIN ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == PORT && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == SUED && var72 == ODIN ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == SUIS && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == YOUG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == MAGH && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == TURQ && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == ARGE && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == BRES && var72 == PARBRE ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == CHIL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == COLO && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == AFSU && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == MARO && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == ISRA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == HONG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == TCHE && var72 == PARTCH ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == POLO && var72 == PARPOL ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == JAPO && var72 == FUJI ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == TAIW && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == AUST && var72 == KANG ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == URUG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == DAIB && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == DAIC && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == DAID && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == DAIF && var72 == VRMI ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == EUOR && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == ME && var5 == CETI && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == FRAN && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == DOTO && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == ALLE && var72 == PARALL ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == AUTR && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == BELG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == DANE && var72 == ODIN ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == ESPA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == FINL && var72 == ODIN ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == GRBR && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == GREC && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == HOLL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == IRLA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == ISLA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == ITAL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == NORV && var72 == ODIN ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == PORT && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == SUED && var72 == ODIN ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == SUIS && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == YOUG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == MAGH && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == TURQ && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == ARGE && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == BRES && var72 == PARBRE ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == CHIL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == COLO && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == AFSU && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == MARO && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == ISRA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == HONG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == TCHE && var72 == PARTCH ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == POLO && var72 == PARPOL ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == JAPO && var72 == FUJI ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == TAIW && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == AUST && var72 == KANG ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == URUG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == DAIB && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == DAIC && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == DAID && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == DAIF && var72 == VRMI ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == EUOR && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MF && var5 == CETI && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == FRAN && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == DOTO && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == ALLE && var72 == PARALL ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == AUTR && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == BELG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == DANE && var72 == ODIN ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == ESPA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == FINL && var72 == ODIN ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == GRBR && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == GREC && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == HOLL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == IRLA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == ISLA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == ITAL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == NORV && var72 == ODIN ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == PORT && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == SUED && var72 == ODIN ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == SUIS && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == YOUG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == MAGH && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == TURQ && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == ARGE && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == BRES && var72 == PARBRE ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == CHIL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == COLO && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == AFSU && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == MARO && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == ISRA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == HONG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == TCHE && var72 == PARTCH ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == POLO && var72 == PARPOL ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == JAPO && var72 == FUJI ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == TAIW && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == AUST && var72 == KANG ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == URUG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == DAIB && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == DAIC && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == DAID && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == DAIF && var72 == VRMI ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == EUOR && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MJ && var5 == CETI && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == FRAN && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == DOTO && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == ALLE && var72 == PARALL ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == AUTR && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == BELG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == DANE && var72 == ODIN ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == ESPA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == FINL && var72 == ODIN ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == GRBR && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == GREC && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == HOLL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == IRLA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == ISLA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == ITAL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == NORV && var72 == ODIN ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == PORT && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == SUED && var72 == ODIN ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == SUIS && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == YOUG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == MAGH && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == TURQ && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == ARGE && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == BRES && var72 == PARBRE ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == CHIL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == COLO && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == AFSU && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == MARO && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == ISRA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == HONG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == TCHE && var72 == PARTCH ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == POLO && var72 == PARPOL ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == JAPO && var72 == FUJI ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == TAIW && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == AUST && var72 == KANG ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == URUG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == DAIB && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == DAIC && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == DAID && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == DAIF && var72 == VRMI ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == EUOR && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MK && var5 == CETI && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == FRAN && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == DOTO && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == ALLE && var72 == PARALL ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == AUTR && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == BELG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == DANE && var72 == ODIN ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == ESPA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == FINL && var72 == ODIN ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == GRBR && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == GREC && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == HOLL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == IRLA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == ISLA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == ITAL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == NORV && var72 == ODIN ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == PORT && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == SUED && var72 == ODIN ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == SUIS && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == YOUG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == MAGH && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == TURQ && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == ARGE && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == BRES && var72 == PARBRE ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == CHIL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == COLO && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == AFSU && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == MARO && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == ISRA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == HONG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == TCHE && var72 == PARTCH ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == POLO && var72 == PARPOL ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == JAPO && var72 == FUJI ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == TAIW && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == AUST && var72 == KANG ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == URUG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == DAIB && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == DAIC && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == DAID && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == DAIF && var72 == VRMI ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == EUOR && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == ML && var5 == CETI && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == FRAN && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == DOTO && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == ALLE && var72 == PARALL ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == AUTR && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == BELG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == DANE && var72 == ODIN ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == ESPA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == FINL && var72 == ODIN ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == GRBR && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == GREC && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == HOLL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == IRLA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == ISLA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == ITAL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == NORV && var72 == ODIN ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == PORT && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == SUED && var72 == ODIN ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == SUIS && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == YOUG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == MAGH && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == TURQ && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == ARGE && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == BRES && var72 == PARBRE ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == CHIL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == COLO && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == AFSU && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == MARO && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == ISRA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == HONG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == TCHE && var72 == PARTCH ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == POLO && var72 == PARPOL ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == JAPO && var72 == FUJI ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == TAIW && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == AUST && var72 == KANG ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == URUG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == DAIB && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == DAIC && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == DAID && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == DAIF && var72 == VRMI ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == EUOR && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MM && var5 == CETI && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == FRAN && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == DOTO && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == ALLE && var72 == PARALL ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == AUTR && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == BELG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == DANE && var72 == ODIN ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == ESPA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == FINL && var72 == ODIN ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == GRBR && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == GREC && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == HOLL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == IRLA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == ISLA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == ITAL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == NORV && var72 == ODIN ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == PORT && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == SUED && var72 == ODIN ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == SUIS && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == YOUG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == MAGH && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == TURQ && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == ARGE && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == BRES && var72 == PARBRE ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == CHIL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == COLO && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == AFSU && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == MARO && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == ISRA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == HONG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == TCHE && var72 == PARTCH ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == POLO && var72 == PARPOL ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == JAPO && var72 == FUJI ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == TAIW && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == AUST && var72 == KANG ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == URUG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == DAIB && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == DAIC && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == DAID && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == DAIF && var72 == VRMI ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == EUOR && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MS && var5 == CETI && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == FRAN && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == DOTO && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == ALLE && var72 == PARALL ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == AUTR && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == BELG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == DANE && var72 == ODIN ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == ESPA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == FINL && var72 == ODIN ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == GRBR && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == GREC && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == HOLL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == IRLA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == ISLA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == ITAL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == NORV && var72 == ODIN ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == PORT && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == SUED && var72 == ODIN ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == SUIS && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == YOUG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == MAGH && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == TURQ && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == ARGE && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == BRES && var72 == PARBRE ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == CHIL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == COLO && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == AFSU && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == MARO && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == ISRA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == HONG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == TCHE && var72 == PARTCH ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == POLO && var72 == PARPOL ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == JAPO && var72 == FUJI ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == TAIW && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == AUST && var72 == KANG ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == URUG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == DAIB && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == DAIC && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == DAID && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == DAIF && var72 == VRMI ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == EUOR && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MT && var5 == CETI && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == FRAN && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == DOTO && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == ALLE && var72 == PARALL ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == AUTR && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == BELG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == DANE && var72 == ODIN ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == ESPA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == FINL && var72 == ODIN ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == GRBR && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == GREC && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == HOLL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == IRLA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == ISLA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == ITAL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == NORV && var72 == ODIN ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == PORT && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == SUED && var72 == ODIN ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == SUIS && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == YOUG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == MAGH && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == TURQ && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == ARGE && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == BRES && var72 == PARBRE ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == CHIL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == COLO && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == AFSU && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == MARO && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == ISRA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == HONG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == TCHE && var72 == PARTCH ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == POLO && var72 == PARPOL ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == JAPO && var72 == FUJI ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == TAIW && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == AUST && var72 == KANG ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == URUG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == DAIB && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == DAIC && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == DAID && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == DAIF && var72 == VRMI ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == EUOR && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MU && var5 == CETI && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == FRAN && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == DOTO && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == ALLE && var72 == PARALL ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == AUTR && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == BELG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == DANE && var72 == ODIN ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == ESPA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == FINL && var72 == ODIN ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == GRBR && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == GREC && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == HOLL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == IRLA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == ISLA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == ITAL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == NORV && var72 == ODIN ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == PORT && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == SUED && var72 == ODIN ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == SUIS && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == YOUG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == MAGH && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == TURQ && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == ARGE && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == BRES && var72 == PARBRE ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == CHIL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == COLO && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == AFSU && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == MARO && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == ISRA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == HONG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == TCHE && var72 == PARTCH ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == POLO && var72 == PARPOL ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == JAPO && var72 == FUJI ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == TAIW && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == AUST && var72 == KANG ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == URUG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == DAIB && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == DAIC && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == DAID && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == DAIF && var72 == VRMI ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == EUOR && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MN && var5 == CETI && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == FRAN && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == DOTO && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == ALLE && var72 == PARALL ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == AUTR && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == BELG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == DANE && var72 == ODIN ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == ESPA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == FINL && var72 == ODIN ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == GRBR && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == GREC && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == HOLL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == IRLA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == ISLA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == ITAL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == NORV && var72 == ODIN ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == PORT && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == SUED && var72 == ODIN ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == SUIS && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == YOUG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == MAGH && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == TURQ && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == ARGE && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == BRES && var72 == PARBRE ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == CHIL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == COLO && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == AFSU && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == MARO && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == ISRA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == HONG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == TCHE && var72 == PARTCH ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == POLO && var72 == PARPOL ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == JAPO && var72 == FUJI ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == TAIW && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == AUST && var72 == KANG ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == URUG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == DAIB && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == DAIC && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == DAID && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == DAIF && var72 == VRMI ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == EUOR && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MH && var5 == CETI && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == FRAN && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == DOTO && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == ALLE && var72 == PARALL ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == AUTR && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == BELG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == DANE && var72 == ODIN ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == ESPA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == FINL && var72 == ODIN ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == GRBR && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == GREC && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == HOLL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == IRLA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == ISLA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == ITAL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == NORV && var72 == ODIN ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == PORT && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == SUED && var72 == ODIN ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == SUIS && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == YOUG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == MAGH && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == TURQ && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == ARGE && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == BRES && var72 == PARBRE ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == CHIL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == COLO && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == AFSU && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == MARO && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == ISRA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == HONG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == TCHE && var72 == PARTCH ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == POLO && var72 == PARPOL ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == JAPO && var72 == FUJI ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == TAIW && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == AUST && var72 == KANG ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == URUG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == DAIB && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == DAIC && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == DAID && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == DAIF && var72 == VRMI ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == EUOR && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MG && var5 == CETI && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == FRAN && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == DOTO && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == ALLE && var72 == PARALL ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == AUTR && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == BELG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == DANE && var72 == ODIN ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == ESPA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == FINL && var72 == ODIN ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == GRBR && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == GREC && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == HOLL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == IRLA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == ISLA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == ITAL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == NORV && var72 == ODIN ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == PORT && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == SUED && var72 == ODIN ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == SUIS && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == YOUG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == MAGH && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == TURQ && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == ARGE && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == BRES && var72 == PARBRE ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == CHIL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == COLO && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == AFSU && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == MARO && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == ISRA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == HONG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == TCHE && var72 == PARTCH ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == POLO && var72 == PARPOL ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == JAPO && var72 == FUJI ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == TAIW && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == AUST && var72 == KANG ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == URUG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == DAIB && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == DAIC && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == DAID && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == DAIF && var72 == VRMI ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == EUOR && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == MY && var5 == CETI && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == FRAN && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == DOTO && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == ALLE && var72 == PARALL ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == AUTR && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == BELG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == DANE && var72 == ODIN ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == ESPA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == FINL && var72 == ODIN ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == GRBR && var72 == SSEDNC ) ) || ( ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == GREC && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == HOLL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == IRLA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == ISLA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == ITAL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == NORV && var72 == ODIN ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == PORT && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == SUED && var72 == ODIN ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == SUIS && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == YOUG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == MAGH && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == TURQ && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == ARGE && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == BRES && var72 == PARBRE ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == CHIL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == COLO && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == AFSU && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == MARO && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == ISRA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == HONG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == TCHE && var72 == PARTCH ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == POLO && var72 == PARPOL ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == JAPO && var72 == FUJI ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == TAIW && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == AUST && var72 == KANG ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == URUG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == DAIB && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == DAIC && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == DAID && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == DAIF && var72 == VRMI ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == EUOR && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == NM2K && var5 == CETI && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == FRAN && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == DOTO && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == ALLE && var72 == PARALL ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == AUTR && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == BELG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == DANE && var72 == ODIN ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == ESPA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == FINL && var72 == ODIN ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == GRBR && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == GREC && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == HOLL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == IRLA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == ISLA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == ITAL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == NORV && var72 == ODIN ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == PORT && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == SUED && var72 == ODIN ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == SUIS && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == YOUG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == MAGH && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == TURQ && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == ARGE && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == BRES && var72 == PARBRE ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == CHIL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == COLO && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == AFSU && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == MARO && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == ISRA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == HONG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == TCHE && var72 == PARTCH ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == POLO && var72 == PARPOL ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == JAPO && var72 == FUJI ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == TAIW && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == AUST && var72 == KANG ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == URUG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == DAIB && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == DAIC && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == DAID && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == DAIF && var72 == VRMI ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == EUOR && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == NM0C && var5 == CETI && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == FRAN && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == DOTO && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == ALLE && var72 == PARALL ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == AUTR && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == BELG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == DANE && var72 == ODIN ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == ESPA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == FINL && var72 == ODIN ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == GRBR && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == GREC && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == HOLL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == IRLA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == ISLA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == ITAL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == NORV && var72 == ODIN ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == PORT && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == SUED && var72 == ODIN ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == SUIS && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == YOUG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == MAGH && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == TURQ && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == ARGE && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == BRES && var72 == PARBRE ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == CHIL && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == COLO && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == AFSU && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == MARO && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == ISRA && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == HONG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == TCHE && var72 == PARTCH ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == POLO && var72 == PARPOL ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == JAPO && var72 == FUJI ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == TAIW && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == AUST && var72 == KANG ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == URUG && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == DAIB && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == DAIC && var72 == DUCA ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == DAID && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == DAIF && var72 == VRMI ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == EUOR && var72 == SSEDNC ) || ( var1 == J64 && var2 == E3 && var3 == ND1G && var5 == CETI && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == FRAN && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == DOTO && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == ALLE && var72 == PARALL ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == AUTR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == BELG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == DANE && var72 == ODIN ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == ESPA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == FINL && var72 == ODIN ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == GRBR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == GREC && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == HOLL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == IRLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == ISLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == ITAL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == NORV && var72 == ODIN ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == PORT && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == SUED && var72 == ODIN ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == SUIS && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == YOUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == MAGH && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == TURQ && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == ARGE && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == BRES && var72 == PARBRE ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == CHIL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == COLO && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == AFSU && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == MARO && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == ISRA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == HONG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == TCHE && var72 == PARTCH ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == POLO && var72 == PARPOL ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == JAPO && var72 == FUJI ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == TAIW && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == AUST && var72 == KANG ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == URUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == DAIB && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == DAIC && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == DAID && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == DAIF && var72 == VRMI ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == EUOR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == M5 && var5 == CETI && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == FRAN && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == DOTO && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == ALLE && var72 == PARALL ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == AUTR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == BELG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == DANE && var72 == ODIN ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == ESPA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == FINL && var72 == ODIN ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == GRBR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == GREC && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == HOLL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == IRLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == ISLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == ITAL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == NORV && var72 == ODIN ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == PORT && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == SUED && var72 == ODIN ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == SUIS && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == YOUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == MAGH && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == TURQ && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == ARGE && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == BRES && var72 == PARBRE ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == CHIL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == COLO && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == AFSU && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == MARO && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == ISRA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == HONG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == TCHE && var72 == PARTCH ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == POLO && var72 == PARPOL ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == JAPO && var72 == FUJI ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == TAIW && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == AUST && var72 == KANG ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == URUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == DAIB && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == DAIC && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == DAID && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == DAIF && var72 == VRMI ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == EUOR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == M6 && var5 == CETI && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == FRAN && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == DOTO && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == ALLE && var72 == PARALL ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == AUTR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == BELG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == DANE && var72 == ODIN ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == ESPA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == FINL && var72 == ODIN ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == GRBR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == GREC && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == HOLL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == IRLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == ISLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == ITAL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == NORV && var72 == ODIN ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == PORT && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == SUED && var72 == ODIN ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == SUIS && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == YOUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == MAGH && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == TURQ && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == ARGE && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == BRES && var72 == PARBRE ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == CHIL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == COLO && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == AFSU && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == MARO && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == ISRA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == HONG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == TCHE && var72 == PARTCH ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == POLO && var72 == PARPOL ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == JAPO && var72 == FUJI ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == TAIW && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == AUST && var72 == KANG ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == URUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == DAIB && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == DAIC && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == DAID && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == DAIF && var72 == VRMI ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == EUOR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == M7 && var5 == CETI && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == FRAN && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == DOTO && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == ALLE && var72 == PARALL ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == AUTR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == BELG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == DANE && var72 == ODIN ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == ESPA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == FINL && var72 == ODIN ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == GRBR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == GREC && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == HOLL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == IRLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == ISLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == ITAL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == NORV && var72 == ODIN ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == PORT && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == SUED && var72 == ODIN ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == SUIS && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == YOUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == MAGH && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == TURQ && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == ARGE && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == BRES && var72 == PARBRE ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == CHIL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == COLO && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == AFSU && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == MARO && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == ISRA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == HONG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == TCHE && var72 == PARTCH ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == POLO && var72 == PARPOL ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == JAPO && var72 == FUJI ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == TAIW && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == AUST && var72 == KANG ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == URUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == DAIB && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == DAIC && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == DAID && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == DAIF && var72 == VRMI ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == EUOR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == M8 && var5 == CETI && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == FRAN && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == DOTO && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == ALLE && var72 == PARALL ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == AUTR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == BELG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == DANE && var72 == ODIN ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == ESPA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == FINL && var72 == ODIN ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == GRBR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == GREC && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == HOLL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == IRLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == ISLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == ITAL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == NORV && var72 == ODIN ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == PORT && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == SUED && var72 == ODIN ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == SUIS && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == YOUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == MAGH && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == TURQ && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == ARGE && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == BRES && var72 == PARBRE ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == CHIL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == COLO && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == AFSU && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == MARO && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == ISRA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == HONG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == TCHE && var72 == PARTCH ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == POLO && var72 == PARPOL ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == JAPO && var72 == FUJI ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == TAIW && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == AUST && var72 == KANG ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == URUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == DAIB && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == DAIC && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == DAID && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == DAIF && var72 == VRMI ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == EUOR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == M9 && var5 == CETI && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == FRAN && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == DOTO && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == ALLE && var72 == PARALL ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == AUTR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == BELG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == DANE && var72 == ODIN ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == ESPA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == FINL && var72 == ODIN ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == GRBR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == GREC && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == HOLL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == IRLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == ISLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == ITAL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == NORV && var72 == ODIN ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == PORT && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == SUED && var72 == ODIN ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == SUIS && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == YOUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == MAGH && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == TURQ && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == ARGE && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == BRES && var72 == PARBRE ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == CHIL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == COLO && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == AFSU && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == MARO && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == ISRA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == HONG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == TCHE && var72 == PARTCH ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == POLO && var72 == PARPOL ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == JAPO && var72 == FUJI ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == TAIW && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == AUST && var72 == KANG ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == URUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == DAIB && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == DAIC && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == DAID && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == DAIF && var72 == VRMI ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == EUOR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MA && var5 == CETI && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == FRAN && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == DOTO && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == ALLE && var72 == PARALL ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == AUTR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == BELG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == DANE && var72 == ODIN ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == ESPA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == FINL && var72 == ODIN ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == GRBR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == GREC && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == HOLL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == IRLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == ISLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == ITAL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == NORV && var72 == ODIN ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == PORT && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == SUED && var72 == ODIN ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == SUIS && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == YOUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == MAGH && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == TURQ && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == ARGE && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == BRES && var72 == PARBRE ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == CHIL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == COLO && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == AFSU && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == MARO && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == ISRA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == HONG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == TCHE && var72 == PARTCH ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == POLO && var72 == PARPOL ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == JAPO && var72 == FUJI ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == TAIW && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == AUST && var72 == KANG ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == URUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == DAIB && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == DAIC && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == DAID && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == DAIF && var72 == VRMI ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == EUOR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MB && var5 == CETI && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == FRAN && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == DOTO && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == ALLE && var72 == PARALL ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == AUTR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == BELG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == DANE && var72 == ODIN ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == ESPA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == FINL && var72 == ODIN ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == GRBR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == GREC && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == HOLL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == IRLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == ISLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == ITAL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == NORV && var72 == ODIN ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == PORT && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == SUED && var72 == ODIN ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == SUIS && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == YOUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == MAGH && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == TURQ && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == ARGE && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == BRES && var72 == PARBRE ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == CHIL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == COLO && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == AFSU && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == MARO && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == ISRA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == HONG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == TCHE && var72 == PARTCH ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == POLO && var72 == PARPOL ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == JAPO && var72 == FUJI ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == TAIW && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == AUST && var72 == KANG ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == URUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == DAIB && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == DAIC && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == DAID && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == DAIF && var72 == VRMI ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == EUOR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MC && var5 == CETI && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == FRAN && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == DOTO && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == ALLE && var72 == PARALL ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == AUTR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == BELG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == DANE && var72 == ODIN ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == ESPA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == FINL && var72 == ODIN ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == GRBR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == GREC && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == HOLL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == IRLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == ISLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == ITAL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == NORV && var72 == ODIN ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == PORT && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == SUED && var72 == ODIN ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == SUIS && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == YOUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == MAGH && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == TURQ && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == ARGE && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == BRES && var72 == PARBRE ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == CHIL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == COLO && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == AFSU && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == MARO && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == ISRA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == HONG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == TCHE && var72 == PARTCH ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == POLO && var72 == PARPOL ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == JAPO && var72 == FUJI ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == TAIW && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == AUST && var72 == KANG ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == URUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == DAIB && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == DAIC && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == DAID && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == DAIF && var72 == VRMI ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == EUOR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MD && var5 == CETI && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == FRAN && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == DOTO && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == ALLE && var72 == PARALL ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == AUTR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == BELG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == DANE && var72 == ODIN ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == ESPA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == FINL && var72 == ODIN ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == GRBR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == GREC && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == HOLL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == IRLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == ISLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == ITAL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == NORV && var72 == ODIN ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == PORT && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == SUED && var72 == ODIN ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == SUIS && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == YOUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == MAGH && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == TURQ && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == ARGE && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == BRES && var72 == PARBRE ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == CHIL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == COLO && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == AFSU && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == MARO && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == ISRA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == HONG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == TCHE && var72 == PARTCH ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == POLO && var72 == PARPOL ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == JAPO && var72 == FUJI ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == TAIW && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == AUST && var72 == KANG ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == URUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == DAIB && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == DAIC && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == DAID && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == DAIF && var72 == VRMI ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == EUOR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == ME && var5 == CETI && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == FRAN && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == DOTO && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == ALLE && var72 == PARALL ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == AUTR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == BELG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == DANE && var72 == ODIN ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == ESPA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == FINL && var72 == ODIN ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == GRBR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == GREC && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == HOLL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == IRLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == ISLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == ITAL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == NORV && var72 == ODIN ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == PORT && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == SUED && var72 == ODIN ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == SUIS && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == YOUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == MAGH && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == TURQ && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == ARGE && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == BRES && var72 == PARBRE ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == CHIL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == COLO && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == AFSU && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == MARO && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == ISRA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == HONG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == TCHE && var72 == PARTCH ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == POLO && var72 == PARPOL ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == JAPO && var72 == FUJI ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == TAIW && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == AUST && var72 == KANG ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == URUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == DAIB && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == DAIC && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == DAID && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == DAIF && var72 == VRMI ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == EUOR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MF && var5 == CETI && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == FRAN && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == DOTO && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == ALLE && var72 == PARALL ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == AUTR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == BELG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == DANE && var72 == ODIN ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == ESPA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == FINL && var72 == ODIN ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == GRBR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == GREC && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == HOLL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == IRLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == ISLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == ITAL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == NORV && var72 == ODIN ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == PORT && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == SUED && var72 == ODIN ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == SUIS && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == YOUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == MAGH && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == TURQ && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == ARGE && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == BRES && var72 == PARBRE ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == CHIL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == COLO && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == AFSU && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == MARO && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == ISRA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == HONG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == TCHE && var72 == PARTCH ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == POLO && var72 == PARPOL ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == JAPO && var72 == FUJI ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == TAIW && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == AUST && var72 == KANG ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == URUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == DAIB && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == DAIC && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == DAID && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == DAIF && var72 == VRMI ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == EUOR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MJ && var5 == CETI && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == FRAN && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == DOTO && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == ALLE && var72 == PARALL ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == AUTR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == BELG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == DANE && var72 == ODIN ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == ESPA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == FINL && var72 == ODIN ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == GRBR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == GREC && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == HOLL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == IRLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == ISLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == ITAL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == NORV && var72 == ODIN ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == PORT && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == SUED && var72 == ODIN ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == SUIS && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == YOUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == MAGH && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == TURQ && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == ARGE && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == BRES && var72 == PARBRE ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == CHIL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == COLO && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == AFSU && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == MARO && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == ISRA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == HONG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == TCHE && var72 == PARTCH ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == POLO && var72 == PARPOL ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == JAPO && var72 == FUJI ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == TAIW && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == AUST && var72 == KANG ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == URUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == DAIB && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == DAIC && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == DAID && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == DAIF && var72 == VRMI ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == EUOR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MK && var5 == CETI && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == FRAN && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == DOTO && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == ALLE && var72 == PARALL ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == AUTR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == BELG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == DANE && var72 == ODIN ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == ESPA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == FINL && var72 == ODIN ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == GRBR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == GREC && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == HOLL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == IRLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == ISLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == ITAL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == NORV && var72 == ODIN ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == PORT && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == SUED && var72 == ODIN ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == SUIS && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == YOUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == MAGH && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == TURQ && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == ARGE && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == BRES && var72 == PARBRE ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == CHIL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == COLO && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == AFSU && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == MARO && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == ISRA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == HONG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == TCHE && var72 == PARTCH ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == POLO && var72 == PARPOL ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == JAPO && var72 == FUJI ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == TAIW && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == AUST && var72 == KANG ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == URUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == DAIB && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == DAIC && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == DAID && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == DAIF && var72 == VRMI ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == EUOR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == ML && var5 == CETI && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == FRAN && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == DOTO && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == ALLE && var72 == PARALL ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == AUTR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == BELG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == DANE && var72 == ODIN ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == ESPA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == FINL && var72 == ODIN ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == GRBR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == GREC && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == HOLL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == IRLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == ISLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == ITAL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == NORV && var72 == ODIN ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == PORT && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == SUED && var72 == ODIN ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == SUIS && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == YOUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == MAGH && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == TURQ && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == ARGE && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == BRES && var72 == PARBRE ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == CHIL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == COLO && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == AFSU && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == MARO && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == ISRA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == HONG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == TCHE && var72 == PARTCH ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == POLO && var72 == PARPOL ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == JAPO && var72 == FUJI ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == TAIW && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == AUST && var72 == KANG ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == URUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == DAIB && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == DAIC && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == DAID && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == DAIF && var72 == VRMI ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == EUOR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MM && var5 == CETI && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == FRAN && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == DOTO && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == ALLE && var72 == PARALL ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == AUTR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == BELG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == DANE && var72 == ODIN ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == ESPA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == FINL && var72 == ODIN ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == GRBR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == GREC && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == HOLL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == IRLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == ISLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == ITAL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == NORV && var72 == ODIN ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == PORT && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == SUED && var72 == ODIN ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == SUIS && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == YOUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == MAGH && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == TURQ && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == ARGE && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == BRES && var72 == PARBRE ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == CHIL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == COLO && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == AFSU && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == MARO && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == ISRA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == HONG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == TCHE && var72 == PARTCH ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == POLO && var72 == PARPOL ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == JAPO && var72 == FUJI ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == TAIW && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == AUST && var72 == KANG ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == URUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == DAIB && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == DAIC && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == DAID && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == DAIF && var72 == VRMI ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == EUOR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MS && var5 == CETI && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == FRAN && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == DOTO && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == ALLE && var72 == PARALL ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == AUTR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == BELG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == DANE && var72 == ODIN ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == ESPA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == FINL && var72 == ODIN ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == GRBR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == GREC && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == HOLL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == IRLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == ISLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == ITAL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == NORV && var72 == ODIN ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == PORT && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == SUED && var72 == ODIN ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == SUIS && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == YOUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == MAGH && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == TURQ && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == ARGE && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == BRES && var72 == PARBRE ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == CHIL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == COLO && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == AFSU && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == MARO && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == ISRA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == HONG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == TCHE && var72 == PARTCH ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == POLO && var72 == PARPOL ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == JAPO && var72 == FUJI ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == TAIW && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == AUST && var72 == KANG ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == URUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == DAIB && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == DAIC && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == DAID && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == DAIF && var72 == VRMI ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == EUOR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MT && var5 == CETI && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == FRAN && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == DOTO && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == ALLE && var72 == PARALL ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == AUTR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == BELG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == DANE && var72 == ODIN ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == ESPA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == FINL && var72 == ODIN ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == GRBR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == GREC && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == HOLL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == IRLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == ISLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == ITAL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == NORV && var72 == ODIN ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == PORT && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == SUED && var72 == ODIN ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == SUIS && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == YOUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == MAGH && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == TURQ && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == ARGE && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == BRES && var72 == PARBRE ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == CHIL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == COLO && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == AFSU && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == MARO && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == ISRA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == HONG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == TCHE && var72 == PARTCH ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == POLO && var72 == PARPOL ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == JAPO && var72 == FUJI ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == TAIW && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == AUST && var72 == KANG ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == URUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == DAIB && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == DAIC && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == DAID && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == DAIF && var72 == VRMI ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == EUOR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MU && var5 == CETI && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == FRAN && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == DOTO && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == ALLE && var72 == PARALL ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == AUTR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == BELG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == DANE && var72 == ODIN ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == ESPA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == FINL && var72 == ODIN ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == GRBR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == GREC && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == HOLL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == IRLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == ISLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == ITAL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == NORV && var72 == ODIN ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == PORT && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == SUED && var72 == ODIN ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == SUIS && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == YOUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == MAGH && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == TURQ && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == ARGE && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == BRES && var72 == PARBRE ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == CHIL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == COLO && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == AFSU && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == MARO && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == ISRA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == HONG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == TCHE && var72 == PARTCH ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == POLO && var72 == PARPOL ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == JAPO && var72 == FUJI ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == TAIW && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == AUST && var72 == KANG ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == URUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == DAIB && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == DAIC && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == DAID && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == DAIF && var72 == VRMI ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == EUOR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MN && var5 == CETI && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == FRAN && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == DOTO && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == ALLE && var72 == PARALL ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == AUTR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == BELG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == DANE && var72 == ODIN ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == ESPA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == FINL && var72 == ODIN ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == GRBR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == GREC && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == HOLL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == IRLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == ISLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == ITAL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == NORV && var72 == ODIN ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == PORT && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == SUED && var72 == ODIN ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == SUIS && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == YOUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == MAGH && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == TURQ && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == ARGE && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == BRES && var72 == PARBRE ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == CHIL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == COLO && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == AFSU && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == MARO && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == ISRA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == HONG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == TCHE && var72 == PARTCH ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == POLO && var72 == PARPOL ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == JAPO && var72 == FUJI ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == TAIW && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == AUST && var72 == KANG ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == URUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == DAIB && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == DAIC && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == DAID && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == DAIF && var72 == VRMI ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == EUOR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MH && var5 == CETI && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == FRAN && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == DOTO && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == ALLE && var72 == PARALL ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == AUTR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == BELG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == DANE && var72 == ODIN ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == ESPA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == FINL && var72 == ODIN ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == GRBR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == GREC && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == HOLL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == IRLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == ISLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == ITAL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == NORV && var72 == ODIN ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == PORT && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == SUED && var72 == ODIN ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == SUIS && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == YOUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == MAGH && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == TURQ && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == ARGE && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == BRES && var72 == PARBRE ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == CHIL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == COLO && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == AFSU && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == MARO && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == ISRA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == HONG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == TCHE && var72 == PARTCH ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == POLO && var72 == PARPOL ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == JAPO && var72 == FUJI ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == TAIW && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == AUST && var72 == KANG ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == URUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == DAIB && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == DAIC && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == DAID && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == DAIF && var72 == VRMI ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == EUOR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MG && var5 == CETI && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == FRAN && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == DOTO && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == ALLE && var72 == PARALL ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == AUTR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == BELG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == DANE && var72 == ODIN ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == ESPA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == FINL && var72 == ODIN ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == GRBR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == GREC && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == HOLL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == IRLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == ISLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == ITAL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == NORV && var72 == ODIN ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == PORT && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == SUED && var72 == ODIN ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == SUIS && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == YOUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == MAGH && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == TURQ && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == ARGE && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == BRES && var72 == PARBRE ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == CHIL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == COLO && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == AFSU && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == MARO && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == ISRA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == HONG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == TCHE && var72 == PARTCH ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == POLO && var72 == PARPOL ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == JAPO && var72 == FUJI ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == TAIW && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == AUST && var72 == KANG ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == URUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == DAIB && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == DAIC && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == DAID && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == DAIF && var72 == VRMI ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == EUOR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == MY && var5 == CETI && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == FRAN && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == DOTO && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == ALLE && var72 == PARALL ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == AUTR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == BELG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == DANE && var72 == ODIN ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == ESPA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == FINL && var72 == ODIN ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == GRBR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == GREC && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == HOLL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == IRLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == ISLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == ITAL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == NORV && var72 == ODIN ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == PORT && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == SUED && var72 == ODIN ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == SUIS && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == YOUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == MAGH && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == TURQ && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == ARGE && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == BRES && var72 == PARBRE ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == CHIL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == COLO && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == AFSU && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == MARO && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == ISRA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == HONG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == TCHE && var72 == PARTCH ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == POLO && var72 == PARPOL ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == JAPO && var72 == FUJI ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == TAIW && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == AUST && var72 == KANG ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == URUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == DAIB && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == DAIC && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == DAID && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == DAIF && var72 == VRMI ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == EUOR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == NM2K && var5 == CETI && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == FRAN && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == DOTO && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == ALLE && var72 == PARALL ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == AUTR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == BELG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == DANE && var72 == ODIN ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == ESPA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == FINL && var72 == ODIN ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == GRBR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == GREC && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == HOLL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == IRLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == ISLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == ITAL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == NORV && var72 == ODIN ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == PORT && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == SUED && var72 == ODIN ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == SUIS && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == YOUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == MAGH && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == TURQ && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == ARGE && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == BRES && var72 == PARBRE ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == CHIL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == COLO && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == AFSU && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == MARO && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == ISRA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == HONG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == TCHE && var72 == PARTCH ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == POLO && var72 == PARPOL ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == JAPO && var72 == FUJI ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == TAIW && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == AUST && var72 == KANG ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == URUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == DAIB && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == DAIC && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == DAID && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == DAIF && var72 == VRMI ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == EUOR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == NM0C && var5 == CETI && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == FRAN && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == DOTO && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == ALLE && var72 == PARALL ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == AUTR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == BELG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == DANE && var72 == ODIN ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == ESPA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == FINL && var72 == ODIN ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == GRBR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == GREC && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == HOLL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == IRLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == ISLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == ITAL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == NORV && var72 == ODIN ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == PORT && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == SUED && var72 == ODIN ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == SUIS && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == YOUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == MAGH && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == TURQ && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == ARGE && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == BRES && var72 == PARBRE ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == CHIL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == COLO && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == AFSU && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == MARO && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == ISRA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == HONG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == TCHE && var72 == PARTCH ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == POLO && var72 == PARPOL ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == JAPO && var72 == FUJI ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == TAIW && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == AUST && var72 == KANG ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == URUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == DAIB && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == DAIC && var72 == DUCA ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == DAID && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == DAIF && var72 == VRMI ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == EUOR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E1 && var3 == ND1G && var5 == CETI && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == FRAN && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == DOTO && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == ALLE && var72 == PARALL ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == AUTR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == BELG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == DANE && var72 == ODIN ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == ESPA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == FINL && var72 == ODIN ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == GRBR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == GREC && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == HOLL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == IRLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == ISLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == ITAL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == NORV && var72 == ODIN ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == PORT && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == SUED && var72 == ODIN ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == SUIS && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == YOUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == MAGH && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == TURQ && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == ARGE && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == BRES && var72 == PARBRE ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == CHIL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == COLO && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == AFSU && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == MARO && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == ISRA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == HONG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == TCHE && var72 == PARTCH ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == POLO && var72 == PARPOL ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == JAPO && var72 == FUJI ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == TAIW && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == AUST && var72 == KANG ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == URUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == DAIB && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == DAIC && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == DAID && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == DAIF && var72 == VRMI ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == EUOR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == M5 && var5 == CETI && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == FRAN && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == DOTO && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == ALLE && var72 == PARALL ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == AUTR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == BELG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == DANE && var72 == ODIN ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == ESPA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == FINL && var72 == ODIN ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == GRBR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == GREC && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == HOLL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == IRLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == ISLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == ITAL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == NORV && var72 == ODIN ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == PORT && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == SUED && var72 == ODIN ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == SUIS && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == YOUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == MAGH && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == TURQ && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == ARGE && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == BRES && var72 == PARBRE ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == CHIL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == COLO && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == AFSU && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == MARO && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == ISRA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == HONG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == TCHE && var72 == PARTCH ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == POLO && var72 == PARPOL ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == JAPO && var72 == FUJI ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == TAIW && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == AUST && var72 == KANG ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == URUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == DAIB && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == DAIC && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == DAID && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == DAIF && var72 == VRMI ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == EUOR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == M6 && var5 == CETI && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == FRAN && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == DOTO && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == ALLE && var72 == PARALL ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == AUTR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == BELG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == DANE && var72 == ODIN ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == ESPA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == FINL && var72 == ODIN ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == GRBR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == GREC && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == HOLL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == IRLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == ISLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == ITAL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == NORV && var72 == ODIN ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == PORT && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == SUED && var72 == ODIN ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == SUIS && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == YOUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == MAGH && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == TURQ && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == ARGE && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == BRES && var72 == PARBRE ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == CHIL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == COLO && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == AFSU && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == MARO && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == ISRA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == HONG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == TCHE && var72 == PARTCH ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == POLO && var72 == PARPOL ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == JAPO && var72 == FUJI ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == TAIW && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == AUST && var72 == KANG ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == URUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == DAIB && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == DAIC && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == DAID && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == DAIF && var72 == VRMI ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == EUOR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == M7 && var5 == CETI && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == FRAN && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == DOTO && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == ALLE && var72 == PARALL ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == AUTR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == BELG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == DANE && var72 == ODIN ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == ESPA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == FINL && var72 == ODIN ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == GRBR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == GREC && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == HOLL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == IRLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == ISLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == ITAL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == NORV && var72 == ODIN ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == PORT && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == SUED && var72 == ODIN ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == SUIS && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == YOUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == MAGH && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == TURQ && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == ARGE && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == BRES && var72 == PARBRE ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == CHIL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == COLO && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == AFSU && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == MARO && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == ISRA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == HONG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == TCHE && var72 == PARTCH ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == POLO && var72 == PARPOL ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == JAPO && var72 == FUJI ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == TAIW && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == AUST && var72 == KANG ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == URUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == DAIB && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == DAIC && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == DAID && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == DAIF && var72 == VRMI ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == EUOR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == M8 && var5 == CETI && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == FRAN && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == DOTO && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == ALLE && var72 == PARALL ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == AUTR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == BELG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == DANE && var72 == ODIN ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == ESPA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == FINL && var72 == ODIN ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == GRBR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == GREC && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == HOLL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == IRLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == ISLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == ITAL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == NORV && var72 == ODIN ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == PORT && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == SUED && var72 == ODIN ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == SUIS && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == YOUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == MAGH && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == TURQ && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == ARGE && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == BRES && var72 == PARBRE ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == CHIL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == COLO && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == AFSU && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == MARO && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == ISRA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == HONG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == TCHE && var72 == PARTCH ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == POLO && var72 == PARPOL ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == JAPO && var72 == FUJI ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == TAIW && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == AUST && var72 == KANG ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == URUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == DAIB && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == DAIC && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == DAID && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == DAIF && var72 == VRMI ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == EUOR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == M9 && var5 == CETI && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == FRAN && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == DOTO && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == ALLE && var72 == PARALL ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == AUTR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == BELG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == DANE && var72 == ODIN ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == ESPA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == FINL && var72 == ODIN ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == GRBR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == GREC && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == HOLL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == IRLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == ISLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == ITAL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == NORV && var72 == ODIN ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == PORT && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == SUED && var72 == ODIN ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == SUIS && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == YOUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == MAGH && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == TURQ && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == ARGE && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == BRES && var72 == PARBRE ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == CHIL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == COLO && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == AFSU && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == MARO && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == ISRA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == HONG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == TCHE && var72 == PARTCH ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == POLO && var72 == PARPOL ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == JAPO && var72 == FUJI ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == TAIW && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == AUST && var72 == KANG ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == URUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == DAIB && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == DAIC && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == DAID && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == DAIF && var72 == VRMI ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == EUOR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MA && var5 == CETI && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == FRAN && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == DOTO && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == ALLE && var72 == PARALL ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == AUTR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == BELG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == DANE && var72 == ODIN ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == ESPA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == FINL && var72 == ODIN ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == GRBR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == GREC && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == HOLL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == IRLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == ISLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == ITAL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == NORV && var72 == ODIN ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == PORT && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == SUED && var72 == ODIN ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == SUIS && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == YOUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == MAGH && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == TURQ && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == ARGE && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == BRES && var72 == PARBRE ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == CHIL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == COLO && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == AFSU && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == MARO && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == ISRA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == HONG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == TCHE && var72 == PARTCH ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == POLO && var72 == PARPOL ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == JAPO && var72 == FUJI ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == TAIW && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == AUST && var72 == KANG ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == URUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == DAIB && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == DAIC && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == DAID && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == DAIF && var72 == VRMI ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == EUOR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MB && var5 == CETI && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == FRAN && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == DOTO && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == ALLE && var72 == PARALL ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == AUTR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == BELG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == DANE && var72 == ODIN ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == ESPA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == FINL && var72 == ODIN ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == GRBR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == GREC && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == HOLL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == IRLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == ISLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == ITAL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == NORV && var72 == ODIN ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == PORT && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == SUED && var72 == ODIN ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == SUIS && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == YOUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == MAGH && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == TURQ && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == ARGE && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == BRES && var72 == PARBRE ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == CHIL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == COLO && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == AFSU && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == MARO && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == ISRA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == HONG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == TCHE && var72 == PARTCH ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == POLO && var72 == PARPOL ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == JAPO && var72 == FUJI ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == TAIW && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == AUST && var72 == KANG ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == URUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == DAIB && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == DAIC && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == DAID && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == DAIF && var72 == VRMI ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == EUOR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MC && var5 == CETI && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == FRAN && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == DOTO && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == ALLE && var72 == PARALL ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == AUTR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == BELG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == DANE && var72 == ODIN ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == ESPA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == FINL && var72 == ODIN ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == GRBR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == GREC && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == HOLL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == IRLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == ISLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == ITAL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == NORV && var72 == ODIN ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == PORT && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == SUED && var72 == ODIN ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == SUIS && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == YOUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == MAGH && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == TURQ && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == ARGE && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == BRES && var72 == PARBRE ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == CHIL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == COLO && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == AFSU && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == MARO && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == ISRA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == HONG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == TCHE && var72 == PARTCH ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == POLO && var72 == PARPOL ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == JAPO && var72 == FUJI ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == TAIW && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == AUST && var72 == KANG ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == URUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == DAIB && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == DAIC && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == DAID && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == DAIF && var72 == VRMI ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == EUOR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MD && var5 == CETI && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == FRAN && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == DOTO && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == ALLE && var72 == PARALL ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == AUTR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == BELG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == DANE && var72 == ODIN ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == ESPA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == FINL && var72 == ODIN ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == GRBR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == GREC && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == HOLL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == IRLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == ISLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == ITAL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == NORV && var72 == ODIN ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == PORT && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == SUED && var72 == ODIN ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == SUIS && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == YOUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == MAGH && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == TURQ && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == ARGE && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == BRES && var72 == PARBRE ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == CHIL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == COLO && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == AFSU && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == MARO && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == ISRA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == HONG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == TCHE && var72 == PARTCH ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == POLO && var72 == PARPOL ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == JAPO && var72 == FUJI ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == TAIW && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == AUST && var72 == KANG ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == URUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == DAIB && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == DAIC && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == DAID && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == DAIF && var72 == VRMI ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == EUOR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == ME && var5 == CETI && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == FRAN && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == DOTO && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == ALLE && var72 == PARALL ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == AUTR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == BELG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == DANE && var72 == ODIN ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == ESPA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == FINL && var72 == ODIN ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == GRBR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == GREC && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == HOLL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == IRLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == ISLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == ITAL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == NORV && var72 == ODIN ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == PORT && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == SUED && var72 == ODIN ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == SUIS && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == YOUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == MAGH && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == TURQ && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == ARGE && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == BRES && var72 == PARBRE ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == CHIL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == COLO && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == AFSU && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == MARO && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == ISRA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == HONG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == TCHE && var72 == PARTCH ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == POLO && var72 == PARPOL ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == JAPO && var72 == FUJI ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == TAIW && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == AUST && var72 == KANG ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == URUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == DAIB && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == DAIC && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == DAID && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == DAIF && var72 == VRMI ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == EUOR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MF && var5 == CETI && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == FRAN && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == DOTO && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == ALLE && var72 == PARALL ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == AUTR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == BELG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == DANE && var72 == ODIN ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == ESPA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == FINL && var72 == ODIN ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == GRBR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == GREC && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == HOLL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == IRLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == ISLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == ITAL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == NORV && var72 == ODIN ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == PORT && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == SUED && var72 == ODIN ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == SUIS && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == YOUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == MAGH && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == TURQ && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == ARGE && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == BRES && var72 == PARBRE ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == CHIL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == COLO && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == AFSU && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == MARO && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == ISRA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == HONG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == TCHE && var72 == PARTCH ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == POLO && var72 == PARPOL ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == JAPO && var72 == FUJI ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == TAIW && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == AUST && var72 == KANG ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == URUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == DAIB && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == DAIC && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == DAID && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == DAIF && var72 == VRMI ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == EUOR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MJ && var5 == CETI && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == FRAN && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == DOTO && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == ALLE && var72 == PARALL ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == AUTR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == BELG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == DANE && var72 == ODIN ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == ESPA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == FINL && var72 == ODIN ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == GRBR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == GREC && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == HOLL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == IRLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == ISLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == ITAL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == NORV && var72 == ODIN ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == PORT && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == SUED && var72 == ODIN ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == SUIS && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == YOUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == MAGH && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == TURQ && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == ARGE && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == BRES && var72 == PARBRE ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == CHIL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == COLO && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == AFSU && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == MARO && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == ISRA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == HONG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == TCHE && var72 == PARTCH ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == POLO && var72 == PARPOL ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == JAPO && var72 == FUJI ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == TAIW && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == AUST && var72 == KANG ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == URUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == DAIB && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == DAIC && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == DAID && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == DAIF && var72 == VRMI ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == EUOR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MK && var5 == CETI && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == FRAN && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == DOTO && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == ALLE && var72 == PARALL ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == AUTR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == BELG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == DANE && var72 == ODIN ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == ESPA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == FINL && var72 == ODIN ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == GRBR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == GREC && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == HOLL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == IRLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == ISLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == ITAL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == NORV && var72 == ODIN ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == PORT && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == SUED && var72 == ODIN ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == SUIS && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == YOUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == MAGH && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == TURQ && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == ARGE && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == BRES && var72 == PARBRE ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == CHIL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == COLO && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == AFSU && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == MARO && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == ISRA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == HONG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == TCHE && var72 == PARTCH ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == POLO && var72 == PARPOL ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == JAPO && var72 == FUJI ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == TAIW && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == AUST && var72 == KANG ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == URUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == DAIB && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == DAIC && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == DAID && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == DAIF && var72 == VRMI ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == EUOR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == ML && var5 == CETI && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == FRAN && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == DOTO && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == ALLE && var72 == PARALL ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == AUTR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == BELG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == DANE && var72 == ODIN ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == ESPA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == FINL && var72 == ODIN ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == GRBR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == GREC && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == HOLL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == IRLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == ISLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == ITAL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == NORV && var72 == ODIN ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == PORT && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == SUED && var72 == ODIN ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == SUIS && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == YOUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == MAGH && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == TURQ && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == ARGE && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == BRES && var72 == PARBRE ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == CHIL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == COLO && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == AFSU && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == MARO && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == ISRA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == HONG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == TCHE && var72 == PARTCH ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == POLO && var72 == PARPOL ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == JAPO && var72 == FUJI ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == TAIW && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == AUST && var72 == KANG ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == URUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == DAIB && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == DAIC && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == DAID && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == DAIF && var72 == VRMI ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == EUOR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MM && var5 == CETI && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == FRAN && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == DOTO && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == ALLE && var72 == PARALL ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == AUTR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == BELG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == DANE && var72 == ODIN ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == ESPA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == FINL && var72 == ODIN ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == GRBR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == GREC && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == HOLL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == IRLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == ISLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == ITAL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == NORV && var72 == ODIN ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == PORT && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == SUED && var72 == ODIN ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == SUIS && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == YOUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == MAGH && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == TURQ && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == ARGE && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == BRES && var72 == PARBRE ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == CHIL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == COLO && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == AFSU && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == MARO && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == ISRA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == HONG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == TCHE && var72 == PARTCH ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == POLO && var72 == PARPOL ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == JAPO && var72 == FUJI ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == TAIW && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == AUST && var72 == KANG ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == URUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == DAIB && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == DAIC && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == DAID && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == DAIF && var72 == VRMI ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == EUOR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MS && var5 == CETI && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == FRAN && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == DOTO && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == ALLE && var72 == PARALL ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == AUTR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == BELG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == DANE && var72 == ODIN ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == ESPA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == FINL && var72 == ODIN ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == GRBR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == GREC && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == HOLL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == IRLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == ISLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == ITAL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == NORV && var72 == ODIN ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == PORT && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == SUED && var72 == ODIN ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == SUIS && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == YOUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == MAGH && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == TURQ && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == ARGE && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == BRES && var72 == PARBRE ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == CHIL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == COLO && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == AFSU && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == MARO && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == ISRA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == HONG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == TCHE && var72 == PARTCH ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == POLO && var72 == PARPOL ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == JAPO && var72 == FUJI ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == TAIW && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == AUST && var72 == KANG ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == URUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == DAIB && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == DAIC && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == DAID && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == DAIF && var72 == VRMI ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == EUOR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MT && var5 == CETI && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == FRAN && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == DOTO && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == ALLE && var72 == PARALL ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == AUTR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == BELG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == DANE && var72 == ODIN ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == ESPA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == FINL && var72 == ODIN ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == GRBR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == GREC && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == HOLL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == IRLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == ISLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == ITAL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == NORV && var72 == ODIN ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == PORT && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == SUED && var72 == ODIN ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == SUIS && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == YOUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == MAGH && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == TURQ && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == ARGE && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == BRES && var72 == PARBRE ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == CHIL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == COLO && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == AFSU && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == MARO && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == ISRA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == HONG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == TCHE && var72 == PARTCH ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == POLO && var72 == PARPOL ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == JAPO && var72 == FUJI ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == TAIW && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == AUST && var72 == KANG ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == URUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == DAIB && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == DAIC && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == DAID && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == DAIF && var72 == VRMI ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == EUOR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MU && var5 == CETI && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == FRAN && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == DOTO && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == ALLE && var72 == PARALL ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == AUTR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == BELG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == DANE && var72 == ODIN ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == ESPA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == FINL && var72 == ODIN ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == GRBR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == GREC && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == HOLL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == IRLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == ISLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == ITAL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == NORV && var72 == ODIN ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == PORT && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == SUED && var72 == ODIN ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == SUIS && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == YOUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == MAGH && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == TURQ && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == ARGE && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == BRES && var72 == PARBRE ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == CHIL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == COLO && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == AFSU && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == MARO && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == ISRA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == HONG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == TCHE && var72 == PARTCH ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == POLO && var72 == PARPOL ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == JAPO && var72 == FUJI ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == TAIW && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == AUST && var72 == KANG ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == URUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == DAIB && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == DAIC && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == DAID && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == DAIF && var72 == VRMI ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == EUOR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MN && var5 == CETI && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == FRAN && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == DOTO && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == ALLE && var72 == PARALL ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == AUTR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == BELG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == DANE && var72 == ODIN ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == ESPA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == FINL && var72 == ODIN ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == GRBR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == GREC && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == HOLL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == IRLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == ISLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == ITAL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == NORV && var72 == ODIN ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == PORT && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == SUED && var72 == ODIN ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == SUIS && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == YOUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == MAGH && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == TURQ && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == ARGE && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == BRES && var72 == PARBRE ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == CHIL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == COLO && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == AFSU && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == MARO && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == ISRA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == HONG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == TCHE && var72 == PARTCH ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == POLO && var72 == PARPOL ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == JAPO && var72 == FUJI ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == TAIW && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == AUST && var72 == KANG ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == URUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == DAIB && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == DAIC && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == DAID && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == DAIF && var72 == VRMI ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == EUOR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MH && var5 == CETI && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == FRAN && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == DOTO && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == ALLE && var72 == PARALL ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == AUTR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == BELG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == DANE && var72 == ODIN ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == ESPA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == FINL && var72 == ODIN ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == GRBR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == GREC && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == HOLL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == IRLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == ISLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == ITAL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == NORV && var72 == ODIN ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == PORT && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == SUED && var72 == ODIN ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == SUIS && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == YOUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == MAGH && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == TURQ && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == ARGE && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == BRES && var72 == PARBRE ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == CHIL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == COLO && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == AFSU && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == MARO && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == ISRA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == HONG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == TCHE && var72 == PARTCH ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == POLO && var72 == PARPOL ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == JAPO && var72 == FUJI ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == TAIW && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == AUST && var72 == KANG ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == URUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == DAIB && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == DAIC && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == DAID && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == DAIF && var72 == VRMI ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == EUOR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MG && var5 == CETI && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == FRAN && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == DOTO && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == ALLE && var72 == PARALL ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == AUTR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == BELG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == DANE && var72 == ODIN ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == ESPA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == FINL && var72 == ODIN ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == GRBR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == GREC && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == HOLL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == IRLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == ISLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == ITAL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == NORV && var72 == ODIN ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == PORT && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == SUED && var72 == ODIN ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == SUIS && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == YOUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == MAGH && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == TURQ && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == ARGE && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == BRES && var72 == PARBRE ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == CHIL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == COLO && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == AFSU && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == MARO && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == ISRA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == HONG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == TCHE && var72 == PARTCH ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == POLO && var72 == PARPOL ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == JAPO && var72 == FUJI ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == TAIW && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == AUST && var72 == KANG ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == URUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == DAIB && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == DAIC && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == DAID && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == DAIF && var72 == VRMI ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == EUOR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == MY && var5 == CETI && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == FRAN && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == DOTO && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == ALLE && var72 == PARALL ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == AUTR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == BELG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == DANE && var72 == ODIN ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == ESPA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == FINL && var72 == ODIN ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == GRBR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == GREC && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == HOLL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == IRLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == ISLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == ITAL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == NORV && var72 == ODIN ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == PORT && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == SUED && var72 == ODIN ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == SUIS && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == YOUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == MAGH && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == TURQ && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == ARGE && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == BRES && var72 == PARBRE ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == CHIL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == COLO && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == AFSU && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == MARO && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == ISRA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == HONG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == TCHE && var72 == PARTCH ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == POLO && var72 == PARPOL ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == JAPO && var72 == FUJI ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == TAIW && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == AUST && var72 == KANG ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == URUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == DAIB && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == DAIC && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == DAID && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == DAIF && var72 == VRMI ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == EUOR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == NM2K && var5 == CETI && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == FRAN && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == DOTO && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == ALLE && var72 == PARALL ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == AUTR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == BELG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == DANE && var72 == ODIN ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == ESPA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == FINL && var72 == ODIN ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == GRBR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == GREC && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == HOLL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == IRLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == ISLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == ITAL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == NORV && var72 == ODIN ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == PORT && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == SUED && var72 == ODIN ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == SUIS && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == YOUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == MAGH && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == TURQ && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == ARGE && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == BRES && var72 == PARBRE ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == CHIL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == COLO && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == AFSU && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == MARO && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == ISRA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == HONG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == TCHE && var72 == PARTCH ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == POLO && var72 == PARPOL ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == JAPO && var72 == FUJI ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == TAIW && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == AUST && var72 == KANG ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == URUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == DAIB && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == DAIC && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == DAID && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == DAIF && var72 == VRMI ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == EUOR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == NM0C && var5 == CETI && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == FRAN && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == DOTO && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == ALLE && var72 == PARALL ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == AUTR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == BELG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == DANE && var72 == ODIN ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == ESPA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == FINL && var72 == ODIN ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == GRBR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == GREC && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == HOLL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == IRLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == ISLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == ITAL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == NORV && var72 == ODIN ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == PORT && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == SUED && var72 == ODIN ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == SUIS && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == YOUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == MAGH && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == TURQ && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == ARGE && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == BRES && var72 == PARBRE ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == CHIL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == COLO && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == AFSU && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == MARO && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == ISRA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == HONG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == TCHE && var72 == PARTCH ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == POLO && var72 == PARPOL ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == JAPO && var72 == FUJI ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == TAIW && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == AUST && var72 == KANG ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == URUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == DAIB && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == DAIC && var72 == DUCA ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == DAID && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == DAIF && var72 == VRMI ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == EUOR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E2 && var3 == ND1G && var5 == CETI && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == FRAN && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == DOTO && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == ALLE && var72 == PARALL ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == AUTR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == BELG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == DANE && var72 == ODIN ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == ESPA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == FINL && var72 == ODIN ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == GRBR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == GREC && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == HOLL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == IRLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == ISLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == ITAL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == NORV && var72 == ODIN ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == PORT && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == SUED && var72 == ODIN ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == SUIS && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == YOUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == MAGH && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == TURQ && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == ARGE && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == BRES && var72 == PARBRE ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == CHIL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == COLO && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == AFSU && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == MARO && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == ISRA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == HONG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == TCHE && var72 == PARTCH ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == POLO && var72 == PARPOL ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == JAPO && var72 == FUJI ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == TAIW && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == AUST && var72 == KANG ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == URUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == DAIB && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == DAIC && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == DAID && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == DAIF && var72 == VRMI ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == EUOR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == M5 && var5 == CETI && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == FRAN && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == DOTO && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == ALLE && var72 == PARALL ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == AUTR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == BELG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == DANE && var72 == ODIN ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == ESPA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == FINL && var72 == ODIN ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == GRBR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == GREC && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == HOLL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == IRLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == ISLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == ITAL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == NORV && var72 == ODIN ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == PORT && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == SUED && var72 == ODIN ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == SUIS && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == YOUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == MAGH && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == TURQ && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == ARGE && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == BRES && var72 == PARBRE ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == CHIL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == COLO && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == AFSU && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == MARO && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == ISRA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == HONG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == TCHE && var72 == PARTCH ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == POLO && var72 == PARPOL ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == JAPO && var72 == FUJI ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == TAIW && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == AUST && var72 == KANG ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == URUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == DAIB && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == DAIC && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == DAID && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == DAIF && var72 == VRMI ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == EUOR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == M6 && var5 == CETI && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == FRAN && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == DOTO && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == ALLE && var72 == PARALL ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == AUTR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == BELG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == DANE && var72 == ODIN ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == ESPA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == FINL && var72 == ODIN ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == GRBR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == GREC && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == HOLL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == IRLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == ISLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == ITAL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == NORV && var72 == ODIN ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == PORT && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == SUED && var72 == ODIN ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == SUIS && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == YOUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == MAGH && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == TURQ && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == ARGE && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == BRES && var72 == PARBRE ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == CHIL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == COLO && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == AFSU && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == MARO && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == ISRA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == HONG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == TCHE && var72 == PARTCH ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == POLO && var72 == PARPOL ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == JAPO && var72 == FUJI ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == TAIW && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == AUST && var72 == KANG ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == URUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == DAIB && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == DAIC && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == DAID && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == DAIF && var72 == VRMI ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == EUOR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == M7 && var5 == CETI && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == FRAN && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == DOTO && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == ALLE && var72 == PARALL ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == AUTR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == BELG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == DANE && var72 == ODIN ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == ESPA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == FINL && var72 == ODIN ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == GRBR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == GREC && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == HOLL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == IRLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == ISLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == ITAL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == NORV && var72 == ODIN ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == PORT && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == SUED && var72 == ODIN ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == SUIS && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == YOUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == MAGH && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == TURQ && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == ARGE && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == BRES && var72 == PARBRE ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == CHIL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == COLO && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == AFSU && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == MARO && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == ISRA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == HONG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == TCHE && var72 == PARTCH ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == POLO && var72 == PARPOL ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == JAPO && var72 == FUJI ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == TAIW && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == AUST && var72 == KANG ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == URUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == DAIB && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == DAIC && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == DAID && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == DAIF && var72 == VRMI ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == EUOR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == M8 && var5 == CETI && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == FRAN && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == DOTO && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == ALLE && var72 == PARALL ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == AUTR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == BELG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == DANE && var72 == ODIN ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == ESPA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == FINL && var72 == ODIN ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == GRBR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == GREC && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == HOLL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == IRLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == ISLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == ITAL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == NORV && var72 == ODIN ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == PORT && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == SUED && var72 == ODIN ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == SUIS && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == YOUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == MAGH && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == TURQ && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == ARGE && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == BRES && var72 == PARBRE ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == CHIL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == COLO && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == AFSU && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == MARO && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == ISRA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == HONG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == TCHE && var72 == PARTCH ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == POLO && var72 == PARPOL ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == JAPO && var72 == FUJI ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == TAIW && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == AUST && var72 == KANG ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == URUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == DAIB && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == DAIC && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == DAID && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == DAIF && var72 == VRMI ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == EUOR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == M9 && var5 == CETI && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == FRAN && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == DOTO && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == ALLE && var72 == PARALL ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == AUTR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == BELG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == DANE && var72 == ODIN ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == ESPA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == FINL && var72 == ODIN ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == GRBR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == GREC && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == HOLL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == IRLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == ISLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == ITAL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == NORV && var72 == ODIN ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == PORT && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == SUED && var72 == ODIN ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == SUIS && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == YOUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == MAGH && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == TURQ && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == ARGE && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == BRES && var72 == PARBRE ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == CHIL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == COLO && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == AFSU && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == MARO && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == ISRA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == HONG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == TCHE && var72 == PARTCH ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == POLO && var72 == PARPOL ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == JAPO && var72 == FUJI ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == TAIW && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == AUST && var72 == KANG ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == URUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == DAIB && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == DAIC && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == DAID && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == DAIF && var72 == VRMI ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == EUOR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MA && var5 == CETI && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == FRAN && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == DOTO && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == ALLE && var72 == PARALL ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == AUTR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == BELG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == DANE && var72 == ODIN ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == ESPA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == FINL && var72 == ODIN ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == GRBR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == GREC && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == HOLL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == IRLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == ISLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == ITAL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == NORV && var72 == ODIN ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == PORT && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == SUED && var72 == ODIN ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == SUIS && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == YOUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == MAGH && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == TURQ && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == ARGE && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == BRES && var72 == PARBRE ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == CHIL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == COLO && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == AFSU && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == MARO && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == ISRA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == HONG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == TCHE && var72 == PARTCH ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == POLO && var72 == PARPOL ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == JAPO && var72 == FUJI ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == TAIW && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == AUST && var72 == KANG ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == URUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == DAIB && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == DAIC && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == DAID && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == DAIF && var72 == VRMI ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == EUOR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MB && var5 == CETI && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == FRAN && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == DOTO && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == ALLE && var72 == PARALL ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == AUTR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == BELG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == DANE && var72 == ODIN ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == ESPA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == FINL && var72 == ODIN ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == GRBR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == GREC && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == HOLL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == IRLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == ISLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == ITAL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == NORV && var72 == ODIN ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == PORT && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == SUED && var72 == ODIN ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == SUIS && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == YOUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == MAGH && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == TURQ && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == ARGE && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == BRES && var72 == PARBRE ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == CHIL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == COLO && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == AFSU && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == MARO && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == ISRA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == HONG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == TCHE && var72 == PARTCH ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == POLO && var72 == PARPOL ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == JAPO && var72 == FUJI ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == TAIW && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == AUST && var72 == KANG ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == URUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == DAIB && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == DAIC && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == DAID && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == DAIF && var72 == VRMI ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == EUOR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MC && var5 == CETI && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == FRAN && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == DOTO && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == ALLE && var72 == PARALL ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == AUTR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == BELG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == DANE && var72 == ODIN ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == ESPA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == FINL && var72 == ODIN ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == GRBR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == GREC && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == HOLL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == IRLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == ISLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == ITAL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == NORV && var72 == ODIN ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == PORT && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == SUED && var72 == ODIN ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == SUIS && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == YOUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == MAGH && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == TURQ && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == ARGE && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == BRES && var72 == PARBRE ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == CHIL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == COLO && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == AFSU && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == MARO && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == ISRA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == HONG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == TCHE && var72 == PARTCH ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == POLO && var72 == PARPOL ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == JAPO && var72 == FUJI ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == TAIW && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == AUST && var72 == KANG ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == URUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == DAIB && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == DAIC && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == DAID && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == DAIF && var72 == VRMI ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == EUOR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MD && var5 == CETI && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == FRAN && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == DOTO && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == ALLE && var72 == PARALL ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == AUTR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == BELG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == DANE && var72 == ODIN ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == ESPA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == FINL && var72 == ODIN ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == GRBR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == GREC && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == HOLL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == IRLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == ISLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == ITAL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == NORV && var72 == ODIN ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == PORT && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == SUED && var72 == ODIN ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == SUIS && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == YOUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == MAGH && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == TURQ && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == ARGE && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == BRES && var72 == PARBRE ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == CHIL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == COLO && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == AFSU && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == MARO && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == ISRA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == HONG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == TCHE && var72 == PARTCH ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == POLO && var72 == PARPOL ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == JAPO && var72 == FUJI ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == TAIW && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == AUST && var72 == KANG ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == URUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == DAIB && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == DAIC && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == DAID && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == DAIF && var72 == VRMI ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == EUOR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == ME && var5 == CETI && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == FRAN && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == DOTO && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == ALLE && var72 == PARALL ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == AUTR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == BELG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == DANE && var72 == ODIN ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == ESPA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == FINL && var72 == ODIN ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == GRBR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == GREC && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == HOLL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == IRLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == ISLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == ITAL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == NORV && var72 == ODIN ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == PORT && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == SUED && var72 == ODIN ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == SUIS && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == YOUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == MAGH && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == TURQ && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == ARGE && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == BRES && var72 == PARBRE ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == CHIL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == COLO && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == AFSU && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == MARO && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == ISRA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == HONG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == TCHE && var72 == PARTCH ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == POLO && var72 == PARPOL ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == JAPO && var72 == FUJI ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == TAIW && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == AUST && var72 == KANG ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == URUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == DAIB && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == DAIC && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == DAID && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == DAIF && var72 == VRMI ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == EUOR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MF && var5 == CETI && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == FRAN && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == DOTO && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == ALLE && var72 == PARALL ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == AUTR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == BELG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == DANE && var72 == ODIN ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == ESPA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == FINL && var72 == ODIN ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == GRBR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == GREC && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == HOLL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == IRLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == ISLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == ITAL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == NORV && var72 == ODIN ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == PORT && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == SUED && var72 == ODIN ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == SUIS && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == YOUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == MAGH && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == TURQ && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == ARGE && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == BRES && var72 == PARBRE ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == CHIL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == COLO && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == AFSU && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == MARO && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == ISRA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == HONG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == TCHE && var72 == PARTCH ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == POLO && var72 == PARPOL ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == JAPO && var72 == FUJI ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == TAIW && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == AUST && var72 == KANG ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == URUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == DAIB && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == DAIC && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == DAID && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == DAIF && var72 == VRMI ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == EUOR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MJ && var5 == CETI && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == FRAN && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == DOTO && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == ALLE && var72 == PARALL ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == AUTR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == BELG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == DANE && var72 == ODIN ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == ESPA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == FINL && var72 == ODIN ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == GRBR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == GREC && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == HOLL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == IRLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == ISLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == ITAL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == NORV && var72 == ODIN ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == PORT && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == SUED && var72 == ODIN ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == SUIS && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == YOUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == MAGH && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == TURQ && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == ARGE && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == BRES && var72 == PARBRE ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == CHIL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == COLO && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == AFSU && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == MARO && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == ISRA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == HONG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == TCHE && var72 == PARTCH ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == POLO && var72 == PARPOL ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == JAPO && var72 == FUJI ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == TAIW && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == AUST && var72 == KANG ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == URUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == DAIB && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == DAIC && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == DAID && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == DAIF && var72 == VRMI ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == EUOR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MK && var5 == CETI && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == FRAN && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == DOTO && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == ALLE && var72 == PARALL ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == AUTR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == BELG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == DANE && var72 == ODIN ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == ESPA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == FINL && var72 == ODIN ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == GRBR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == GREC && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == HOLL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == IRLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == ISLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == ITAL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == NORV && var72 == ODIN ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == PORT && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == SUED && var72 == ODIN ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == SUIS && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == YOUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == MAGH && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == TURQ && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == ARGE && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == BRES && var72 == PARBRE ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == CHIL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == COLO && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == AFSU && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == MARO && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == ISRA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == HONG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == TCHE && var72 == PARTCH ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == POLO && var72 == PARPOL ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == JAPO && var72 == FUJI ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == TAIW && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == AUST && var72 == KANG ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == URUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == DAIB && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == DAIC && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == DAID && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == DAIF && var72 == VRMI ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == EUOR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == ML && var5 == CETI && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == FRAN && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == DOTO && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == ALLE && var72 == PARALL ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == AUTR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == BELG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == DANE && var72 == ODIN ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == ESPA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == FINL && var72 == ODIN ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == GRBR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == GREC && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == HOLL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == IRLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == ISLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == ITAL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == NORV && var72 == ODIN ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == PORT && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == SUED && var72 == ODIN ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == SUIS && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == YOUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == MAGH && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == TURQ && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == ARGE && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == BRES && var72 == PARBRE ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == CHIL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == COLO && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == AFSU && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == MARO && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == ISRA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == HONG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == TCHE && var72 == PARTCH ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == POLO && var72 == PARPOL ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == JAPO && var72 == FUJI ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == TAIW && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == AUST && var72 == KANG ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == URUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == DAIB && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == DAIC && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == DAID && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == DAIF && var72 == VRMI ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == EUOR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MM && var5 == CETI && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == FRAN && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == DOTO && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == ALLE && var72 == PARALL ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == AUTR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == BELG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == DANE && var72 == ODIN ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == ESPA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == FINL && var72 == ODIN ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == GRBR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == GREC && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == HOLL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == IRLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == ISLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == ITAL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == NORV && var72 == ODIN ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == PORT && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == SUED && var72 == ODIN ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == SUIS && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == YOUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == MAGH && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == TURQ && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == ARGE && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == BRES && var72 == PARBRE ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == CHIL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == COLO && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == AFSU && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == MARO && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == ISRA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == HONG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == TCHE && var72 == PARTCH ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == POLO && var72 == PARPOL ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == JAPO && var72 == FUJI ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == TAIW && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == AUST && var72 == KANG ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == URUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == DAIB && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == DAIC && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == DAID && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == DAIF && var72 == VRMI ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == EUOR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MS && var5 == CETI && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == FRAN && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == DOTO && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == ALLE && var72 == PARALL ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == AUTR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == BELG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == DANE && var72 == ODIN ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == ESPA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == FINL && var72 == ODIN ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == GRBR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == GREC && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == HOLL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == IRLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == ISLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == ITAL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == NORV && var72 == ODIN ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == PORT && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == SUED && var72 == ODIN ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == SUIS && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == YOUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == MAGH && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == TURQ && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == ARGE && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == BRES && var72 == PARBRE ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == CHIL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == COLO && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == AFSU && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == MARO && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == ISRA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == HONG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == TCHE && var72 == PARTCH ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == POLO && var72 == PARPOL ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == JAPO && var72 == FUJI ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == TAIW && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == AUST && var72 == KANG ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == URUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == DAIB && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == DAIC && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == DAID && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == DAIF && var72 == VRMI ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == EUOR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MT && var5 == CETI && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == FRAN && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == DOTO && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == ALLE && var72 == PARALL ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == AUTR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == BELG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == DANE && var72 == ODIN ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == ESPA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == FINL && var72 == ODIN ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == GRBR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == GREC && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == HOLL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == IRLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == ISLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == ITAL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == NORV && var72 == ODIN ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == PORT && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == SUED && var72 == ODIN ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == SUIS && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == YOUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == MAGH && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == TURQ && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == ARGE && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == BRES && var72 == PARBRE ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == CHIL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == COLO && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == AFSU && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == MARO && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == ISRA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == HONG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == TCHE && var72 == PARTCH ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == POLO && var72 == PARPOL ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == JAPO && var72 == FUJI ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == TAIW && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == AUST && var72 == KANG ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == URUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == DAIB && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == DAIC && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == DAID && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == DAIF && var72 == VRMI ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == EUOR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MU && var5 == CETI && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == FRAN && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == DOTO && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == ALLE && var72 == PARALL ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == AUTR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == BELG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == DANE && var72 == ODIN ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == ESPA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == FINL && var72 == ODIN ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == GRBR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == GREC && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == HOLL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == IRLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == ISLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == ITAL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == NORV && var72 == ODIN ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == PORT && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == SUED && var72 == ODIN ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == SUIS && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == YOUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == MAGH && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == TURQ && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == ARGE && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == BRES && var72 == PARBRE ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == CHIL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == COLO && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == AFSU && var72 == SSEDNC ) ) || ( ( var1 == B64 && var2 == E3 && var3 == MN && var5 == MARO && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == ISRA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == HONG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == TCHE && var72 == PARTCH ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == POLO && var72 == PARPOL ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == JAPO && var72 == FUJI ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == TAIW && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == AUST && var72 == KANG ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == URUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == DAIB && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == DAIC && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == DAID && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == DAIF && var72 == VRMI ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == EUOR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MN && var5 == CETI && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == FRAN && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == DOTO && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == ALLE && var72 == PARALL ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == AUTR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == BELG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == DANE && var72 == ODIN ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == ESPA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == FINL && var72 == ODIN ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == GRBR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == GREC && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == HOLL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == IRLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == ISLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == ITAL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == NORV && var72 == ODIN ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == PORT && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == SUED && var72 == ODIN ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == SUIS && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == YOUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == MAGH && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == TURQ && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == ARGE && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == BRES && var72 == PARBRE ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == CHIL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == COLO && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == AFSU && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == MARO && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == ISRA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == HONG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == TCHE && var72 == PARTCH ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == POLO && var72 == PARPOL ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == JAPO && var72 == FUJI ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == TAIW && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == AUST && var72 == KANG ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == URUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == DAIB && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == DAIC && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == DAID && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == DAIF && var72 == VRMI ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == EUOR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MH && var5 == CETI && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == FRAN && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == DOTO && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == ALLE && var72 == PARALL ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == AUTR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == BELG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == DANE && var72 == ODIN ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == ESPA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == FINL && var72 == ODIN ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == GRBR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == GREC && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == HOLL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == IRLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == ISLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == ITAL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == NORV && var72 == ODIN ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == PORT && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == SUED && var72 == ODIN ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == SUIS && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == YOUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == MAGH && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == TURQ && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == ARGE && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == BRES && var72 == PARBRE ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == CHIL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == COLO && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == AFSU && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == MARO && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == ISRA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == HONG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == TCHE && var72 == PARTCH ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == POLO && var72 == PARPOL ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == JAPO && var72 == FUJI ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == TAIW && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == AUST && var72 == KANG ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == URUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == DAIB && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == DAIC && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == DAID && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == DAIF && var72 == VRMI ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == EUOR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MG && var5 == CETI && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == FRAN && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == DOTO && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == ALLE && var72 == PARALL ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == AUTR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == BELG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == DANE && var72 == ODIN ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == ESPA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == FINL && var72 == ODIN ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == GRBR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == GREC && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == HOLL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == IRLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == ISLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == ITAL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == NORV && var72 == ODIN ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == PORT && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == SUED && var72 == ODIN ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == SUIS && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == YOUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == MAGH && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == TURQ && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == ARGE && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == BRES && var72 == PARBRE ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == CHIL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == COLO && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == AFSU && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == MARO && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == ISRA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == HONG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == TCHE && var72 == PARTCH ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == POLO && var72 == PARPOL ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == JAPO && var72 == FUJI ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == TAIW && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == AUST && var72 == KANG ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == URUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == DAIB && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == DAIC && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == DAID && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == DAIF && var72 == VRMI ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == EUOR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == MY && var5 == CETI && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == FRAN && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == DOTO && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == ALLE && var72 == PARALL ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == AUTR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == BELG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == DANE && var72 == ODIN ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == ESPA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == FINL && var72 == ODIN ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == GRBR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == GREC && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == HOLL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == IRLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == ISLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == ITAL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == NORV && var72 == ODIN ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == PORT && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == SUED && var72 == ODIN ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == SUIS && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == YOUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == MAGH && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == TURQ && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == ARGE && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == BRES && var72 == PARBRE ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == CHIL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == COLO && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == AFSU && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == MARO && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == ISRA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == HONG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == TCHE && var72 == PARTCH ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == POLO && var72 == PARPOL ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == JAPO && var72 == FUJI ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == TAIW && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == AUST && var72 == KANG ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == URUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == DAIB && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == DAIC && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == DAID && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == DAIF && var72 == VRMI ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == EUOR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == NM2K && var5 == CETI && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == FRAN && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == DOTO && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == ALLE && var72 == PARALL ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == AUTR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == BELG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == DANE && var72 == ODIN ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == ESPA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == FINL && var72 == ODIN ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == GRBR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == GREC && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == HOLL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == IRLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == ISLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == ITAL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == NORV && var72 == ODIN ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == PORT && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == SUED && var72 == ODIN ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == SUIS && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == YOUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == MAGH && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == TURQ && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == ARGE && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == BRES && var72 == PARBRE ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == CHIL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == COLO && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == AFSU && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == MARO && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == ISRA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == HONG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == TCHE && var72 == PARTCH ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == POLO && var72 == PARPOL ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == JAPO && var72 == FUJI ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == TAIW && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == AUST && var72 == KANG ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == URUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == DAIB && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == DAIC && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == DAID && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == DAIF && var72 == VRMI ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == EUOR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == NM0C && var5 == CETI && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == FRAN && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == DOTO && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == ALLE && var72 == PARALL ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == AUTR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == BELG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == DANE && var72 == ODIN ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == ESPA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == FINL && var72 == ODIN ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == GRBR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == GREC && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == HOLL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == IRLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == ISLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == ITAL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == NORV && var72 == ODIN ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == PORT && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == SUED && var72 == ODIN ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == SUIS && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == YOUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == MAGH && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == TURQ && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == ARGE && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == BRES && var72 == PARBRE ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == CHIL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == COLO && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == AFSU && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == MARO && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == ISRA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == HONG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == TCHE && var72 == PARTCH ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == POLO && var72 == PARPOL ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == JAPO && var72 == FUJI ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == TAIW && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == AUST && var72 == KANG ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == URUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == DAIB && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == DAIC && var72 == DUCA ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == DAID && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == DAIF && var72 == VRMI ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == EUOR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E3 && var3 == ND1G && var5 == CETI && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == FRAN && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == DOTO && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == ALLE && var72 == PARALL ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == AUTR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == BELG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == DANE && var72 == ODIN ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == ESPA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == FINL && var72 == ODIN ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == GRBR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == GREC && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == HOLL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == IRLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == ISLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == ITAL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == NORV && var72 == ODIN ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == PORT && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == SUED && var72 == ODIN ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == SUIS && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == YOUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == MAGH && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == TURQ && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == ARGE && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == BRES && var72 == PARBRE ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == CHIL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == COLO && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == AFSU && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == MARO && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == ISRA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == HONG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == TCHE && var72 == PARTCH ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == POLO && var72 == PARPOL ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == JAPO && var72 == FUJI ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == TAIW && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == AUST && var72 == KANG ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == URUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == DAIB && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == DAIC && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == DAID && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == DAIF && var72 == VRMI ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == EUOR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == M5 && var5 == CETI && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == FRAN && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == DOTO && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == ALLE && var72 == PARALL ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == AUTR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == BELG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == DANE && var72 == ODIN ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == ESPA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == FINL && var72 == ODIN ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == GRBR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == GREC && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == HOLL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == IRLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == ISLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == ITAL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == NORV && var72 == ODIN ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == PORT && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == SUED && var72 == ODIN ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == SUIS && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == YOUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == MAGH && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == TURQ && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == ARGE && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == BRES && var72 == PARBRE ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == CHIL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == COLO && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == AFSU && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == MARO && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == ISRA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == HONG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == TCHE && var72 == PARTCH ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == POLO && var72 == PARPOL ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == JAPO && var72 == FUJI ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == TAIW && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == AUST && var72 == KANG ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == URUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == DAIB && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == DAIC && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == DAID && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == DAIF && var72 == VRMI ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == EUOR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == M6 && var5 == CETI && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == FRAN && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == DOTO && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == ALLE && var72 == PARALL ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == AUTR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == BELG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == DANE && var72 == ODIN ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == ESPA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == FINL && var72 == ODIN ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == GRBR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == GREC && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == HOLL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == IRLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == ISLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == ITAL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == NORV && var72 == ODIN ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == PORT && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == SUED && var72 == ODIN ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == SUIS && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == YOUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == MAGH && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == TURQ && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == ARGE && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == BRES && var72 == PARBRE ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == CHIL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == COLO && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == AFSU && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == MARO && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == ISRA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == HONG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == TCHE && var72 == PARTCH ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == POLO && var72 == PARPOL ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == JAPO && var72 == FUJI ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == TAIW && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == AUST && var72 == KANG ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == URUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == DAIB && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == DAIC && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == DAID && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == DAIF && var72 == VRMI ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == EUOR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == M7 && var5 == CETI && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == FRAN && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == DOTO && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == ALLE && var72 == PARALL ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == AUTR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == BELG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == DANE && var72 == ODIN ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == ESPA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == FINL && var72 == ODIN ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == GRBR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == GREC && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == HOLL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == IRLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == ISLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == ITAL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == NORV && var72 == ODIN ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == PORT && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == SUED && var72 == ODIN ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == SUIS && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == YOUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == MAGH && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == TURQ && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == ARGE && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == BRES && var72 == PARBRE ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == CHIL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == COLO && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == AFSU && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == MARO && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == ISRA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == HONG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == TCHE && var72 == PARTCH ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == POLO && var72 == PARPOL ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == JAPO && var72 == FUJI ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == TAIW && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == AUST && var72 == KANG ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == URUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == DAIB && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == DAIC && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == DAID && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == DAIF && var72 == VRMI ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == EUOR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == M8 && var5 == CETI && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == FRAN && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == DOTO && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == ALLE && var72 == PARALL ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == AUTR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == BELG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == DANE && var72 == ODIN ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == ESPA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == FINL && var72 == ODIN ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == GRBR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == GREC && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == HOLL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == IRLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == ISLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == ITAL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == NORV && var72 == ODIN ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == PORT && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == SUED && var72 == ODIN ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == SUIS && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == YOUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == MAGH && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == TURQ && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == ARGE && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == BRES && var72 == PARBRE ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == CHIL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == COLO && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == AFSU && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == MARO && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == ISRA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == HONG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == TCHE && var72 == PARTCH ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == POLO && var72 == PARPOL ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == JAPO && var72 == FUJI ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == TAIW && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == AUST && var72 == KANG ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == URUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == DAIB && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == DAIC && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == DAID && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == DAIF && var72 == VRMI ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == EUOR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == M9 && var5 == CETI && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == FRAN && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == DOTO && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == ALLE && var72 == PARALL ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == AUTR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == BELG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == DANE && var72 == ODIN ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == ESPA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == FINL && var72 == ODIN ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == GRBR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == GREC && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == HOLL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == IRLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == ISLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == ITAL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == NORV && var72 == ODIN ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == PORT && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == SUED && var72 == ODIN ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == SUIS && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == YOUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == MAGH && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == TURQ && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == ARGE && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == BRES && var72 == PARBRE ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == CHIL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == COLO && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == AFSU && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == MARO && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == ISRA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == HONG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == TCHE && var72 == PARTCH ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == POLO && var72 == PARPOL ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == JAPO && var72 == FUJI ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == TAIW && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == AUST && var72 == KANG ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == URUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == DAIB && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == DAIC && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == DAID && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == DAIF && var72 == VRMI ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == EUOR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MA && var5 == CETI && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == FRAN && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == DOTO && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == ALLE && var72 == PARALL ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == AUTR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == BELG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == DANE && var72 == ODIN ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == ESPA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == FINL && var72 == ODIN ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == GRBR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == GREC && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == HOLL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == IRLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == ISLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == ITAL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == NORV && var72 == ODIN ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == PORT && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == SUED && var72 == ODIN ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == SUIS && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == YOUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == MAGH && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == TURQ && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == ARGE && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == BRES && var72 == PARBRE ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == CHIL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == COLO && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == AFSU && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == MARO && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == ISRA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == HONG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == TCHE && var72 == PARTCH ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == POLO && var72 == PARPOL ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == JAPO && var72 == FUJI ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == TAIW && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == AUST && var72 == KANG ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == URUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == DAIB && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == DAIC && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == DAID && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == DAIF && var72 == VRMI ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == EUOR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MB && var5 == CETI && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == FRAN && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == DOTO && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == ALLE && var72 == PARALL ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == AUTR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == BELG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == DANE && var72 == ODIN ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == ESPA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == FINL && var72 == ODIN ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == GRBR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == GREC && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == HOLL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == IRLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == ISLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == ITAL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == NORV && var72 == ODIN ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == PORT && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == SUED && var72 == ODIN ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == SUIS && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == YOUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == MAGH && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == TURQ && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == ARGE && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == BRES && var72 == PARBRE ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == CHIL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == COLO && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == AFSU && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == MARO && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == ISRA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == HONG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == TCHE && var72 == PARTCH ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == POLO && var72 == PARPOL ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == JAPO && var72 == FUJI ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == TAIW && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == AUST && var72 == KANG ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == URUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == DAIB && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == DAIC && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == DAID && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == DAIF && var72 == VRMI ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == EUOR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MC && var5 == CETI && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == FRAN && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == DOTO && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == ALLE && var72 == PARALL ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == AUTR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == BELG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == DANE && var72 == ODIN ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == ESPA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == FINL && var72 == ODIN ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == GRBR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == GREC && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == HOLL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == IRLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == ISLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == ITAL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == NORV && var72 == ODIN ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == PORT && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == SUED && var72 == ODIN ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == SUIS && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == YOUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == MAGH && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == TURQ && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == ARGE && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == BRES && var72 == PARBRE ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == CHIL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == COLO && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == AFSU && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == MARO && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == ISRA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == HONG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == TCHE && var72 == PARTCH ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == POLO && var72 == PARPOL ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == JAPO && var72 == FUJI ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == TAIW && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == AUST && var72 == KANG ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == URUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == DAIB && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == DAIC && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == DAID && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == DAIF && var72 == VRMI ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == EUOR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MD && var5 == CETI && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == FRAN && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == DOTO && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == ALLE && var72 == PARALL ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == AUTR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == BELG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == DANE && var72 == ODIN ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == ESPA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == FINL && var72 == ODIN ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == GRBR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == GREC && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == HOLL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == IRLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == ISLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == ITAL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == NORV && var72 == ODIN ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == PORT && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == SUED && var72 == ODIN ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == SUIS && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == YOUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == MAGH && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == TURQ && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == ARGE && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == BRES && var72 == PARBRE ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == CHIL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == COLO && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == AFSU && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == MARO && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == ISRA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == HONG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == TCHE && var72 == PARTCH ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == POLO && var72 == PARPOL ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == JAPO && var72 == FUJI ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == TAIW && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == AUST && var72 == KANG ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == URUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == DAIB && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == DAIC && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == DAID && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == DAIF && var72 == VRMI ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == EUOR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == ME && var5 == CETI && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == FRAN && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == DOTO && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == ALLE && var72 == PARALL ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == AUTR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == BELG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == DANE && var72 == ODIN ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == ESPA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == FINL && var72 == ODIN ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == GRBR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == GREC && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == HOLL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == IRLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == ISLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == ITAL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == NORV && var72 == ODIN ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == PORT && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == SUED && var72 == ODIN ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == SUIS && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == YOUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == MAGH && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == TURQ && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == ARGE && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == BRES && var72 == PARBRE ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == CHIL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == COLO && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == AFSU && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == MARO && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == ISRA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == HONG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == TCHE && var72 == PARTCH ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == POLO && var72 == PARPOL ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == JAPO && var72 == FUJI ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == TAIW && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == AUST && var72 == KANG ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == URUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == DAIB && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == DAIC && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == DAID && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == DAIF && var72 == VRMI ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == EUOR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MF && var5 == CETI && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == FRAN && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == DOTO && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == ALLE && var72 == PARALL ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == AUTR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == BELG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == DANE && var72 == ODIN ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == ESPA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == FINL && var72 == ODIN ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == GRBR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == GREC && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == HOLL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == IRLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == ISLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == ITAL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == NORV && var72 == ODIN ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == PORT && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == SUED && var72 == ODIN ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == SUIS && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == YOUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == MAGH && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == TURQ && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == ARGE && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == BRES && var72 == PARBRE ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == CHIL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == COLO && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == AFSU && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == MARO && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == ISRA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == HONG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == TCHE && var72 == PARTCH ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == POLO && var72 == PARPOL ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == JAPO && var72 == FUJI ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == TAIW && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == AUST && var72 == KANG ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == URUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == DAIB && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == DAIC && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == DAID && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == DAIF && var72 == VRMI ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == EUOR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MJ && var5 == CETI && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == FRAN && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == DOTO && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == ALLE && var72 == PARALL ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == AUTR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == BELG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == DANE && var72 == ODIN ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == ESPA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == FINL && var72 == ODIN ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == GRBR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == GREC && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == HOLL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == IRLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == ISLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == ITAL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == NORV && var72 == ODIN ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == PORT && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == SUED && var72 == ODIN ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == SUIS && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == YOUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == MAGH && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == TURQ && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == ARGE && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == BRES && var72 == PARBRE ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == CHIL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == COLO && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == AFSU && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == MARO && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == ISRA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == HONG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == TCHE && var72 == PARTCH ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == POLO && var72 == PARPOL ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == JAPO && var72 == FUJI ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == TAIW && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == AUST && var72 == KANG ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == URUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == DAIB && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == DAIC && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == DAID && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == DAIF && var72 == VRMI ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == EUOR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MK && var5 == CETI && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == FRAN && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == DOTO && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == ALLE && var72 == PARALL ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == AUTR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == BELG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == DANE && var72 == ODIN ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == ESPA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == FINL && var72 == ODIN ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == GRBR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == GREC && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == HOLL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == IRLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == ISLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == ITAL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == NORV && var72 == ODIN ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == PORT && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == SUED && var72 == ODIN ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == SUIS && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == YOUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == MAGH && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == TURQ && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == ARGE && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == BRES && var72 == PARBRE ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == CHIL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == COLO && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == AFSU && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == MARO && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == ISRA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == HONG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == TCHE && var72 == PARTCH ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == POLO && var72 == PARPOL ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == JAPO && var72 == FUJI ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == TAIW && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == AUST && var72 == KANG ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == URUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == DAIB && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == DAIC && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == DAID && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == DAIF && var72 == VRMI ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == EUOR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == ML && var5 == CETI && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == FRAN && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == DOTO && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == ALLE && var72 == PARALL ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == AUTR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == BELG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == DANE && var72 == ODIN ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == ESPA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == FINL && var72 == ODIN ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == GRBR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == GREC && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == HOLL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == IRLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == ISLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == ITAL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == NORV && var72 == ODIN ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == PORT && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == SUED && var72 == ODIN ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == SUIS && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == YOUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == MAGH && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == TURQ && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == ARGE && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == BRES && var72 == PARBRE ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == CHIL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == COLO && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == AFSU && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == MARO && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == ISRA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == HONG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == TCHE && var72 == PARTCH ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == POLO && var72 == PARPOL ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == JAPO && var72 == FUJI ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == TAIW && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == AUST && var72 == KANG ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == URUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == DAIB && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == DAIC && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == DAID && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == DAIF && var72 == VRMI ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == EUOR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MM && var5 == CETI && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == FRAN && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == DOTO && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == ALLE && var72 == PARALL ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == AUTR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == BELG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == DANE && var72 == ODIN ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == ESPA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == FINL && var72 == ODIN ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == GRBR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == GREC && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == HOLL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == IRLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == ISLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == ITAL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == NORV && var72 == ODIN ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == PORT && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == SUED && var72 == ODIN ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == SUIS && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == YOUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == MAGH && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == TURQ && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == ARGE && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == BRES && var72 == PARBRE ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == CHIL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == COLO && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == AFSU && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == MARO && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == ISRA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == HONG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == TCHE && var72 == PARTCH ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == POLO && var72 == PARPOL ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == JAPO && var72 == FUJI ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == TAIW && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == AUST && var72 == KANG ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == URUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == DAIB && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == DAIC && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == DAID && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == DAIF && var72 == VRMI ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == EUOR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MS && var5 == CETI && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == FRAN && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == DOTO && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == ALLE && var72 == PARALL ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == AUTR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == BELG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == DANE && var72 == ODIN ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == ESPA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == FINL && var72 == ODIN ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == GRBR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == GREC && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == HOLL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == IRLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == ISLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == ITAL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == NORV && var72 == ODIN ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == PORT && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == SUED && var72 == ODIN ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == SUIS && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == YOUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == MAGH && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == TURQ && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == ARGE && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == BRES && var72 == PARBRE ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == CHIL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == COLO && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == AFSU && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == MARO && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == ISRA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == HONG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == TCHE && var72 == PARTCH ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == POLO && var72 == PARPOL ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == JAPO && var72 == FUJI ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == TAIW && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == AUST && var72 == KANG ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == URUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == DAIB && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == DAIC && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == DAID && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == DAIF && var72 == VRMI ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == EUOR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MT && var5 == CETI && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == FRAN && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == DOTO && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == ALLE && var72 == PARALL ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == AUTR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == BELG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == DANE && var72 == ODIN ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == ESPA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == FINL && var72 == ODIN ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == GRBR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == GREC && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == HOLL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == IRLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == ISLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == ITAL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == NORV && var72 == ODIN ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == PORT && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == SUED && var72 == ODIN ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == SUIS && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == YOUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == MAGH && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == TURQ && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == ARGE && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == BRES && var72 == PARBRE ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == CHIL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == COLO && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == AFSU && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == MARO && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == ISRA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == HONG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == TCHE && var72 == PARTCH ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == POLO && var72 == PARPOL ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == JAPO && var72 == FUJI ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == TAIW && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == AUST && var72 == KANG ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == URUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == DAIB && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == DAIC && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == DAID && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == DAIF && var72 == VRMI ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == EUOR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MU && var5 == CETI && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == FRAN && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == DOTO && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == ALLE && var72 == PARALL ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == AUTR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == BELG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == DANE && var72 == ODIN ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == ESPA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == FINL && var72 == ODIN ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == GRBR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == GREC && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == HOLL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == IRLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == ISLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == ITAL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == NORV && var72 == ODIN ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == PORT && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == SUED && var72 == ODIN ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == SUIS && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == YOUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == MAGH && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == TURQ && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == ARGE && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == BRES && var72 == PARBRE ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == CHIL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == COLO && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == AFSU && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == MARO && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == ISRA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == HONG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == TCHE && var72 == PARTCH ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == POLO && var72 == PARPOL ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == JAPO && var72 == FUJI ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == TAIW && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == AUST && var72 == KANG ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == URUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == DAIB && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == DAIC && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == DAID && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == DAIF && var72 == VRMI ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == EUOR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MN && var5 == CETI && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == FRAN && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == DOTO && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == ALLE && var72 == PARALL ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == AUTR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == BELG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == DANE && var72 == ODIN ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == ESPA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == FINL && var72 == ODIN ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == GRBR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == GREC && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == HOLL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == IRLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == ISLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == ITAL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == NORV && var72 == ODIN ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == PORT && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == SUED && var72 == ODIN ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == SUIS && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == YOUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == MAGH && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == TURQ && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == ARGE && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == BRES && var72 == PARBRE ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == CHIL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == COLO && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == AFSU && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == MARO && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == ISRA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == HONG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == TCHE && var72 == PARTCH ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == POLO && var72 == PARPOL ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == JAPO && var72 == FUJI ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == TAIW && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == AUST && var72 == KANG ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == URUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == DAIB && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == DAIC && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == DAID && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == DAIF && var72 == VRMI ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == EUOR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MH && var5 == CETI && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == FRAN && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == DOTO && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == ALLE && var72 == PARALL ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == AUTR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == BELG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == DANE && var72 == ODIN ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == ESPA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == FINL && var72 == ODIN ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == GRBR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == GREC && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == HOLL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == IRLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == ISLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == ITAL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == NORV && var72 == ODIN ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == PORT && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == SUED && var72 == ODIN ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == SUIS && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == YOUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == MAGH && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == TURQ && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == ARGE && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == BRES && var72 == PARBRE ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == CHIL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == COLO && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == AFSU && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == MARO && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == ISRA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == HONG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == TCHE && var72 == PARTCH ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == POLO && var72 == PARPOL ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == JAPO && var72 == FUJI ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == TAIW && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == AUST && var72 == KANG ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == URUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == DAIB && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == DAIC && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == DAID && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == DAIF && var72 == VRMI ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == EUOR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MG && var5 == CETI && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == FRAN && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == DOTO && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == ALLE && var72 == PARALL ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == AUTR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == BELG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == DANE && var72 == ODIN ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == ESPA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == FINL && var72 == ODIN ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == GRBR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == GREC && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == HOLL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == IRLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == ISLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == ITAL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == NORV && var72 == ODIN ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == PORT && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == SUED && var72 == ODIN ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == SUIS && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == YOUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == MAGH && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == TURQ && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == ARGE && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == BRES && var72 == PARBRE ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == CHIL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == COLO && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == AFSU && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == MARO && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == ISRA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == HONG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == TCHE && var72 == PARTCH ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == POLO && var72 == PARPOL ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == JAPO && var72 == FUJI ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == TAIW && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == AUST && var72 == KANG ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == URUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == DAIB && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == DAIC && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == DAID && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == DAIF && var72 == VRMI ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == EUOR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == MY && var5 == CETI && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == FRAN && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == DOTO && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == ALLE && var72 == PARALL ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == AUTR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == BELG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == DANE && var72 == ODIN ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == ESPA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == FINL && var72 == ODIN ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == GRBR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == GREC && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == HOLL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == IRLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == ISLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == ITAL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == NORV && var72 == ODIN ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == PORT && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == SUED && var72 == ODIN ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == SUIS && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == YOUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == MAGH && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == TURQ && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == ARGE && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == BRES && var72 == PARBRE ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == CHIL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == COLO && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == AFSU && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == MARO && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == ISRA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == HONG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == TCHE && var72 == PARTCH ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == POLO && var72 == PARPOL ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == JAPO && var72 == FUJI ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == TAIW && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == AUST && var72 == KANG ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == URUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == DAIB && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == DAIC && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == DAID && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == DAIF && var72 == VRMI ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == EUOR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == NM2K && var5 == CETI && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == FRAN && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == DOTO && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == ALLE && var72 == PARALL ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == AUTR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == BELG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == DANE && var72 == ODIN ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == ESPA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == FINL && var72 == ODIN ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == GRBR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == GREC && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == HOLL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == IRLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == ISLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == ITAL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == NORV && var72 == ODIN ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == PORT && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == SUED && var72 == ODIN ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == SUIS && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == YOUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == MAGH && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == TURQ && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == ARGE && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == BRES && var72 == PARBRE ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == CHIL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == COLO && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == AFSU && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == MARO && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == ISRA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == HONG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == TCHE && var72 == PARTCH ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == POLO && var72 == PARPOL ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == JAPO && var72 == FUJI ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == TAIW && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == AUST && var72 == KANG ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == URUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == DAIB && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == DAIC && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == DAID && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == DAIF && var72 == VRMI ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == EUOR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == NM0C && var5 == CETI && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == FRAN && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == DOTO && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == ALLE && var72 == PARALL ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == AUTR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == BELG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == DANE && var72 == ODIN ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == ESPA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == FINL && var72 == ODIN ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == GRBR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == GREC && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == HOLL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == IRLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == ISLA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == ITAL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == NORV && var72 == ODIN ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == PORT && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == SUED && var72 == ODIN ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == SUIS && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == YOUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == MAGH && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == TURQ && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == ARGE && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == BRES && var72 == PARBRE ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == CHIL && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == COLO && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == AFSU && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == MARO && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == ISRA && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == HONG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == TCHE && var72 == PARTCH ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == POLO && var72 == PARPOL ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == JAPO && var72 == FUJI ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == TAIW && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == AUST && var72 == KANG ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == URUG && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == DAIB && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == DAIC && var72 == DUCA ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == DAID && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == DAIF && var72 == VRMI ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == EUOR && var72 == SSEDNC ) || ( var1 == B64 && var2 == E5 && var3 == ND1G && var5 == CETI && var72 == DUCA ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == FRAN && var72 == SSEDNC ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == DOTO && var72 == SSEDNC ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == ALLE && var72 == PARALL ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == AUTR && var72 == SSEDNC ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == BELG && var72 == SSEDNC ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == DANE && var72 == ODIN ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == ESPA && var72 == SSEDNC ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == FINL && var72 == ODIN ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == GRBR && var72 == SSEDNC ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == GREC && var72 == SSEDNC ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == HOLL && var72 == SSEDNC ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == IRLA && var72 == SSEDNC ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == ISLA && var72 == SSEDNC ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == ITAL && var72 == SSEDNC ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == NORV && var72 == ODIN ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == PORT && var72 == SSEDNC ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == SUED && var72 == ODIN ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == SUIS && var72 == SSEDNC ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == YOUG && var72 == SSEDNC ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == MAGH && var72 == DUCA ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == TURQ && var72 == DUCA ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == ARGE && var72 == DUCA ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == BRES && var72 == PARBRE ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == CHIL && var72 == SSEDNC ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == COLO && var72 == DUCA ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == AFSU && var72 == SSEDNC ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == MARO && var72 == DUCA ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == ISRA && var72 == SSEDNC ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == HONG && var72 == SSEDNC ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == TCHE && var72 == PARTCH ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == POLO && var72 == PARPOL ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == JAPO && var72 == FUJI ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == TAIW && var72 == SSEDNC ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == AUST && var72 == KANG ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == URUG && var72 == SSEDNC ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == DAIB && var72 == DUCA ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == DAIC && var72 == DUCA ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == DAID && var72 == SSEDNC ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == DAIF && var72 == VRMI ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == EUOR && var72 == SSEDNC ) || ( var1 == F64 && var2 == E2 && var3 == MJ && var5 == CETI && var72 == DUCA ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == FRAN && var72 == SSEDNC ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == DOTO && var72 == SSEDNC ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == ALLE && var72 == PARALL ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == AUTR && var72 == SSEDNC ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == BELG && var72 == SSEDNC ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == DANE && var72 == ODIN ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == ESPA && var72 == SSEDNC ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == FINL && var72 == ODIN ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == GRBR && var72 == SSEDNC ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == GREC && var72 == SSEDNC ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == HOLL && var72 == SSEDNC ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == IRLA && var72 == SSEDNC ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == ISLA && var72 == SSEDNC ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == ITAL && var72 == SSEDNC ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == NORV && var72 == ODIN ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == PORT && var72 == SSEDNC ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == SUED && var72 == ODIN ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == SUIS && var72 == SSEDNC ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == YOUG && var72 == SSEDNC ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == MAGH && var72 == DUCA ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == TURQ && var72 == DUCA ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == ARGE && var72 == DUCA ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == BRES && var72 == PARBRE ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == CHIL && var72 == SSEDNC ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == COLO && var72 == DUCA ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == AFSU && var72 == SSEDNC ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == MARO && var72 == DUCA ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == ISRA && var72 == SSEDNC ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == HONG && var72 == SSEDNC ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == TCHE && var72 == PARTCH ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == POLO && var72 == PARPOL ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == JAPO && var72 == FUJI ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == TAIW && var72 == SSEDNC ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == AUST && var72 == KANG ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == URUG && var72 == SSEDNC ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == DAIB && var72 == DUCA ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == DAIC && var72 == DUCA ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == DAID && var72 == SSEDNC ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == DAIF && var72 == VRMI ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == EUOR && var72 == SSEDNC ) || ( var1 == F64 && var2 == E2 && var3 == MK && var5 == CETI && var72 == DUCA ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == FRAN && var72 == SSEDNC ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == DOTO && var72 == SSEDNC ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == ALLE && var72 == PARALL ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == AUTR && var72 == SSEDNC ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == BELG && var72 == SSEDNC ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == DANE && var72 == ODIN ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == ESPA && var72 == SSEDNC ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == FINL && var72 == ODIN ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == GRBR && var72 == SSEDNC ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == GREC && var72 == SSEDNC ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == HOLL && var72 == SSEDNC ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == IRLA && var72 == SSEDNC ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == ISLA && var72 == SSEDNC ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == ITAL && var72 == SSEDNC ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == NORV && var72 == ODIN ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == PORT && var72 == SSEDNC ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == SUED && var72 == ODIN ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == SUIS && var72 == SSEDNC ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == YOUG && var72 == SSEDNC ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == MAGH && var72 == DUCA ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == TURQ && var72 == DUCA ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == ARGE && var72 == DUCA ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == BRES && var72 == PARBRE ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == CHIL && var72 == SSEDNC ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == COLO && var72 == DUCA ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == AFSU && var72 == SSEDNC ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == MARO && var72 == DUCA ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == ISRA && var72 == SSEDNC ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == HONG && var72 == SSEDNC ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == TCHE && var72 == PARTCH ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == POLO && var72 == PARPOL ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == JAPO && var72 == FUJI ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == TAIW && var72 == SSEDNC ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == AUST && var72 == KANG ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == URUG && var72 == SSEDNC ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == DAIB && var72 == DUCA ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == DAIC && var72 == DUCA ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == DAID && var72 == SSEDNC ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == DAIF && var72 == VRMI ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == EUOR && var72 == SSEDNC ) || ( var1 == F64 && var2 == E2 && var3 == MY && var5 == CETI && var72 == DUCA ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == FRAN && var72 == SSEDNC ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == DOTO && var72 == SSEDNC ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == ALLE && var72 == PARALL ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == AUTR && var72 == SSEDNC ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == BELG && var72 == SSEDNC ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == DANE && var72 == ODIN ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == ESPA && var72 == SSEDNC ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == FINL && var72 == ODIN ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == GRBR && var72 == SSEDNC ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == GREC && var72 == SSEDNC ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == HOLL && var72 == SSEDNC ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == IRLA && var72 == SSEDNC ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == ISLA && var72 == SSEDNC ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == ITAL && var72 == SSEDNC ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == NORV && var72 == ODIN ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == PORT && var72 == SSEDNC ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == SUED && var72 == ODIN ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == SUIS && var72 == SSEDNC ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == YOUG && var72 == SSEDNC ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == MAGH && var72 == DUCA ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == TURQ && var72 == DUCA ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == ARGE && var72 == DUCA ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == BRES && var72 == PARBRE ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == CHIL && var72 == SSEDNC ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == COLO && var72 == DUCA ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == AFSU && var72 == SSEDNC ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == MARO && var72 == DUCA ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == ISRA && var72 == SSEDNC ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == HONG && var72 == SSEDNC ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == TCHE && var72 == PARTCH ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == POLO && var72 == PARPOL ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == JAPO && var72 == FUJI ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == TAIW && var72 == SSEDNC ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == AUST && var72 == KANG ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == URUG && var72 == SSEDNC ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == DAIB && var72 == DUCA ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == DAIC && var72 == DUCA ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == DAID && var72 == SSEDNC ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == DAIF && var72 == VRMI ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == EUOR && var72 == SSEDNC ) || ( var1 == K25 && var2 == E0 && var3 == M6 && var5 == CETI && var72 == DUCA ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == FRAN && var72 == SSEDNC ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == DOTO && var72 == SSEDNC ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == ALLE && var72 == PARALL ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == AUTR && var72 == SSEDNC ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == BELG && var72 == SSEDNC ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == DANE && var72 == ODIN ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == ESPA && var72 == SSEDNC ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == FINL && var72 == ODIN ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == GRBR && var72 == SSEDNC ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == GREC && var72 == SSEDNC ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == HOLL && var72 == SSEDNC ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == IRLA && var72 == SSEDNC ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == ISLA && var72 == SSEDNC ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == ITAL && var72 == SSEDNC ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == NORV && var72 == ODIN ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == PORT && var72 == SSEDNC ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == SUED && var72 == ODIN ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == SUIS && var72 == SSEDNC ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == YOUG && var72 == SSEDNC ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == MAGH && var72 == DUCA ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == TURQ && var72 == DUCA ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == ARGE && var72 == DUCA ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == BRES && var72 == PARBRE ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == CHIL && var72 == SSEDNC ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == COLO && var72 == DUCA ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == AFSU && var72 == SSEDNC ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == MARO && var72 == DUCA ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == ISRA && var72 == SSEDNC ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == HONG && var72 == SSEDNC ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == TCHE && var72 == PARTCH ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == POLO && var72 == PARPOL ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == JAPO && var72 == FUJI ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == TAIW && var72 == SSEDNC ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == AUST && var72 == KANG ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == URUG && var72 == SSEDNC ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == DAIB && var72 == DUCA ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == DAIC && var72 == DUCA ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == DAID && var72 == SSEDNC ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == DAIF && var72 == VRMI ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == EUOR && var72 == SSEDNC ) || ( var1 == K25 && var2 == E0 && var3 == MD && var5 == CETI && var72 == DUCA ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == FRAN && var72 == SSEDNC ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == DOTO && var72 == SSEDNC ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == ALLE && var72 == PARALL ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == AUTR && var72 == SSEDNC ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == BELG && var72 == SSEDNC ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == DANE && var72 == ODIN ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == ESPA && var72 == SSEDNC ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == FINL && var72 == ODIN ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == GRBR && var72 == SSEDNC ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == GREC && var72 == SSEDNC ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == HOLL && var72 == SSEDNC ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == IRLA && var72 == SSEDNC ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == ISLA && var72 == SSEDNC ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == ITAL && var72 == SSEDNC ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == NORV && var72 == ODIN ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == PORT && var72 == SSEDNC ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == SUED && var72 == ODIN ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == SUIS && var72 == SSEDNC ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == YOUG && var72 == SSEDNC ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == MAGH && var72 == DUCA ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == TURQ && var72 == DUCA ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == ARGE && var72 == DUCA ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == BRES && var72 == PARBRE ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == CHIL && var72 == SSEDNC ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == COLO && var72 == DUCA ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == AFSU && var72 == SSEDNC ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == MARO && var72 == DUCA ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == ISRA && var72 == SSEDNC ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == HONG && var72 == SSEDNC ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == TCHE && var72 == PARTCH ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == POLO && var72 == PARPOL ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == JAPO && var72 == FUJI ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == TAIW && var72 == SSEDNC ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == AUST && var72 == KANG ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == URUG && var72 == SSEDNC ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == DAIB && var72 == DUCA ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == DAIC && var72 == DUCA ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == DAID && var72 == SSEDNC ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == DAIF && var72 == VRMI ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == EUOR && var72 == SSEDNC ) || ( var1 == K25 && var2 == E0 && var3 == ME && var5 == CETI && var72 == DUCA ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == FRAN && var72 == SSEDNC ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == DOTO && var72 == SSEDNC ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == ALLE && var72 == PARALL ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == AUTR && var72 == SSEDNC ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == BELG && var72 == SSEDNC ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == DANE && var72 == ODIN ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == ESPA && var72 == SSEDNC ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == FINL && var72 == ODIN ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == GRBR && var72 == SSEDNC ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == GREC && var72 == SSEDNC ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == HOLL && var72 == SSEDNC ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == IRLA && var72 == SSEDNC ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == ISLA && var72 == SSEDNC ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == ITAL && var72 == SSEDNC ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == NORV && var72 == ODIN ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == PORT && var72 == SSEDNC ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == SUED && var72 == ODIN ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == SUIS && var72 == SSEDNC ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == YOUG && var72 == SSEDNC ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == MAGH && var72 == DUCA ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == TURQ && var72 == DUCA ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == ARGE && var72 == DUCA ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == BRES && var72 == PARBRE ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == CHIL && var72 == SSEDNC ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == COLO && var72 == DUCA ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == AFSU && var72 == SSEDNC ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == MARO && var72 == DUCA ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == ISRA && var72 == SSEDNC ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == HONG && var72 == SSEDNC ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == TCHE && var72 == PARTCH ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == POLO && var72 == PARPOL ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == JAPO && var72 == FUJI ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == TAIW && var72 == SSEDNC ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == AUST && var72 == KANG ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == URUG && var72 == SSEDNC ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == DAIB && var72 == DUCA ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == DAIC && var72 == DUCA ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == DAID && var72 == SSEDNC ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == DAIF && var72 == VRMI ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == EUOR && var72 == SSEDNC ) || ( var1 == K25 && var2 == E0 && var3 == MF && var5 == CETI && var72 == DUCA ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == FRAN && var72 == SSEDNC ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == DOTO && var72 == SSEDNC ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == ALLE && var72 == PARALL ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == AUTR && var72 == SSEDNC ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == BELG && var72 == SSEDNC ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == DANE && var72 == ODIN ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == ESPA && var72 == SSEDNC ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == FINL && var72 == ODIN ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == GRBR && var72 == SSEDNC ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == GREC && var72 == SSEDNC ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == HOLL && var72 == SSEDNC ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == IRLA && var72 == SSEDNC ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == ISLA && var72 == SSEDNC ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == ITAL && var72 == SSEDNC ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == NORV && var72 == ODIN ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == PORT && var72 == SSEDNC ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == SUED && var72 == ODIN ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == SUIS && var72 == SSEDNC ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == YOUG && var72 == SSEDNC ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == MAGH && var72 == DUCA ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == TURQ && var72 == DUCA ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == ARGE && var72 == DUCA ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == BRES && var72 == PARBRE ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == CHIL && var72 == SSEDNC ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == COLO && var72 == DUCA ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == AFSU && var72 == SSEDNC ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == MARO && var72 == DUCA ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == ISRA && var72 == SSEDNC ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == HONG && var72 == SSEDNC ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == TCHE && var72 == PARTCH ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == POLO && var72 == PARPOL ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == JAPO && var72 == FUJI ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == TAIW && var72 == SSEDNC ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == AUST && var72 == KANG ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == URUG && var72 == SSEDNC ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == DAIB && var72 == DUCA ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == DAIC && var72 == DUCA ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == DAID && var72 == SSEDNC ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == DAIF && var72 == VRMI ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == EUOR && var72 == SSEDNC ) || ( var1 == K25 && var2 == E0 && var3 == MJ && var5 == CETI && var72 == DUCA ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == FRAN && var72 == SSEDNC ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == DOTO && var72 == SSEDNC ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == ALLE && var72 == PARALL ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == AUTR && var72 == SSEDNC ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == BELG && var72 == SSEDNC ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == DANE && var72 == ODIN ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == ESPA && var72 == SSEDNC ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == FINL && var72 == ODIN ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == GRBR && var72 == SSEDNC ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == GREC && var72 == SSEDNC ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == HOLL && var72 == SSEDNC ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == IRLA && var72 == SSEDNC ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == ISLA && var72 == SSEDNC ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == ITAL && var72 == SSEDNC ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == NORV && var72 == ODIN ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == PORT && var72 == SSEDNC ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == SUED && var72 == ODIN ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == SUIS && var72 == SSEDNC ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == YOUG && var72 == SSEDNC ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == MAGH && var72 == DUCA ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == TURQ && var72 == DUCA ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == ARGE && var72 == DUCA ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == BRES && var72 == PARBRE ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == CHIL && var72 == SSEDNC ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == COLO && var72 == DUCA ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == AFSU && var72 == SSEDNC ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == MARO && var72 == DUCA ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == ISRA && var72 == SSEDNC ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == HONG && var72 == SSEDNC ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == TCHE && var72 == PARTCH ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == POLO && var72 == PARPOL ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == JAPO && var72 == FUJI ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == TAIW && var72 == SSEDNC ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == AUST && var72 == KANG ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == URUG && var72 == SSEDNC ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == DAIB && var72 == DUCA ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == DAIC && var72 == DUCA ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == DAID && var72 == SSEDNC ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == DAIF && var72 == VRMI ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == EUOR && var72 == SSEDNC ) || ( var1 == K25 && var2 == E0 && var3 == ML && var5 == CETI && var72 == DUCA ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == FRAN && var72 == SSEDNC ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == DOTO && var72 == SSEDNC ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == ALLE && var72 == PARALL ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == AUTR && var72 == SSEDNC ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == BELG && var72 == SSEDNC ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == DANE && var72 == ODIN ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == ESPA && var72 == SSEDNC ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == FINL && var72 == ODIN ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == GRBR && var72 == SSEDNC ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == GREC && var72 == SSEDNC ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == HOLL && var72 == SSEDNC ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == IRLA && var72 == SSEDNC ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == ISLA && var72 == SSEDNC ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == ITAL && var72 == SSEDNC ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == NORV && var72 == ODIN ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == PORT && var72 == SSEDNC ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == SUED && var72 == ODIN ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == SUIS && var72 == SSEDNC ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == YOUG && var72 == SSEDNC ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == MAGH && var72 == DUCA ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == TURQ && var72 == DUCA ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == ARGE && var72 == DUCA ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == BRES && var72 == PARBRE ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == CHIL && var72 == SSEDNC ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == COLO && var72 == DUCA ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == AFSU && var72 == SSEDNC ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == MARO && var72 == DUCA ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == ISRA && var72 == SSEDNC ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == HONG && var72 == SSEDNC ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == TCHE && var72 == PARTCH ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == POLO && var72 == PARPOL ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == JAPO && var72 == FUJI ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == TAIW && var72 == SSEDNC ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == AUST && var72 == KANG ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == URUG && var72 == SSEDNC ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == DAIB && var72 == DUCA ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == DAIC && var72 == DUCA ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == DAID && var72 == SSEDNC ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == DAIF && var72 == VRMI ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == EUOR && var72 == SSEDNC ) || ( var1 == K25 && var2 == E1 && var3 == M6 && var5 == CETI && var72 == DUCA ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == FRAN && var72 == SSEDNC ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == DOTO && var72 == SSEDNC ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == ALLE && var72 == PARALL ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == AUTR && var72 == SSEDNC ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == BELG && var72 == SSEDNC ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == DANE && var72 == ODIN ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == ESPA && var72 == SSEDNC ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == FINL && var72 == ODIN ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == GRBR && var72 == SSEDNC ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == GREC && var72 == SSEDNC ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == HOLL && var72 == SSEDNC ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == IRLA && var72 == SSEDNC ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == ISLA && var72 == SSEDNC ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == ITAL && var72 == SSEDNC ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == NORV && var72 == ODIN ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == PORT && var72 == SSEDNC ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == SUED && var72 == ODIN ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == SUIS && var72 == SSEDNC ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == YOUG && var72 == SSEDNC ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == MAGH && var72 == DUCA ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == TURQ && var72 == DUCA ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == ARGE && var72 == DUCA ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == BRES && var72 == PARBRE ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == CHIL && var72 == SSEDNC ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == COLO && var72 == DUCA ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == AFSU && var72 == SSEDNC ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == MARO && var72 == DUCA ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == ISRA && var72 == SSEDNC ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == HONG && var72 == SSEDNC ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == TCHE && var72 == PARTCH ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == POLO && var72 == PARPOL ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == JAPO && var72 == FUJI ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == TAIW && var72 == SSEDNC ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == AUST && var72 == KANG ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == URUG && var72 == SSEDNC ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == DAIB && var72 == DUCA ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == DAIC && var72 == DUCA ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == DAID && var72 == SSEDNC ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == DAIF && var72 == VRMI ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == EUOR && var72 == SSEDNC ) || ( var1 == K25 && var2 == E1 && var3 == MD && var5 == CETI && var72 == DUCA ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == FRAN && var72 == SSEDNC ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == DOTO && var72 == SSEDNC ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == ALLE && var72 == PARALL ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == AUTR && var72 == SSEDNC ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == BELG && var72 == SSEDNC ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == DANE && var72 == ODIN ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == ESPA && var72 == SSEDNC ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == FINL && var72 == ODIN ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == GRBR && var72 == SSEDNC ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == GREC && var72 == SSEDNC ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == HOLL && var72 == SSEDNC ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == IRLA && var72 == SSEDNC ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == ISLA && var72 == SSEDNC ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == ITAL && var72 == SSEDNC ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == NORV && var72 == ODIN ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == PORT && var72 == SSEDNC ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == SUED && var72 == ODIN ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == SUIS && var72 == SSEDNC ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == YOUG && var72 == SSEDNC ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == MAGH && var72 == DUCA ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == TURQ && var72 == DUCA ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == ARGE && var72 == DUCA ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == BRES && var72 == PARBRE ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == CHIL && var72 == SSEDNC ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == COLO && var72 == DUCA ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == AFSU && var72 == SSEDNC ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == MARO && var72 == DUCA ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == ISRA && var72 == SSEDNC ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == HONG && var72 == SSEDNC ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == TCHE && var72 == PARTCH ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == POLO && var72 == PARPOL ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == JAPO && var72 == FUJI ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == TAIW && var72 == SSEDNC ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == AUST && var72 == KANG ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == URUG && var72 == SSEDNC ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == DAIB && var72 == DUCA ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == DAIC && var72 == DUCA ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == DAID && var72 == SSEDNC ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == DAIF && var72 == VRMI ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == EUOR && var72 == SSEDNC ) || ( var1 == K25 && var2 == E1 && var3 == ME && var5 == CETI && var72 == DUCA ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == FRAN && var72 == SSEDNC ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == DOTO && var72 == SSEDNC ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == ALLE && var72 == PARALL ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == AUTR && var72 == SSEDNC ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == BELG && var72 == SSEDNC ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == DANE && var72 == ODIN ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == ESPA && var72 == SSEDNC ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == FINL && var72 == ODIN ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == GRBR && var72 == SSEDNC ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == GREC && var72 == SSEDNC ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == HOLL && var72 == SSEDNC ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == IRLA && var72 == SSEDNC ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == ISLA && var72 == SSEDNC ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == ITAL && var72 == SSEDNC ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == NORV && var72 == ODIN ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == PORT && var72 == SSEDNC ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == SUED && var72 == ODIN ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == SUIS && var72 == SSEDNC ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == YOUG && var72 == SSEDNC ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == MAGH && var72 == DUCA ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == TURQ && var72 == DUCA ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == ARGE && var72 == DUCA ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == BRES && var72 == PARBRE ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == CHIL && var72 == SSEDNC ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == COLO && var72 == DUCA ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == AFSU && var72 == SSEDNC ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == MARO && var72 == DUCA ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == ISRA && var72 == SSEDNC ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == HONG && var72 == SSEDNC ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == TCHE && var72 == PARTCH ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == POLO && var72 == PARPOL ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == JAPO && var72 == FUJI ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == TAIW && var72 == SSEDNC ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == AUST && var72 == KANG ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == URUG && var72 == SSEDNC ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == DAIB && var72 == DUCA ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == DAIC && var72 == DUCA ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == DAID && var72 == SSEDNC ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == DAIF && var72 == VRMI ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == EUOR && var72 == SSEDNC ) || ( var1 == K25 && var2 == E1 && var3 == MF && var5 == CETI && var72 == DUCA ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == FRAN && var72 == SSEDNC ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == DOTO && var72 == SSEDNC ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == ALLE && var72 == PARALL ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == AUTR && var72 == SSEDNC ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == BELG && var72 == SSEDNC ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == DANE && var72 == ODIN ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == ESPA && var72 == SSEDNC ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == FINL && var72 == ODIN ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == GRBR && var72 == SSEDNC ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == GREC && var72 == SSEDNC ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == HOLL && var72 == SSEDNC ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == IRLA && var72 == SSEDNC ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == ISLA && var72 == SSEDNC ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == ITAL && var72 == SSEDNC ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == NORV && var72 == ODIN ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == PORT && var72 == SSEDNC ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == SUED && var72 == ODIN ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == SUIS && var72 == SSEDNC ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == YOUG && var72 == SSEDNC ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == MAGH && var72 == DUCA ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == TURQ && var72 == DUCA ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == ARGE && var72 == DUCA ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == BRES && var72 == PARBRE ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == CHIL && var72 == SSEDNC ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == COLO && var72 == DUCA ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == AFSU && var72 == SSEDNC ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == MARO && var72 == DUCA ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == ISRA && var72 == SSEDNC ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == HONG && var72 == SSEDNC ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == TCHE && var72 == PARTCH ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == POLO && var72 == PARPOL ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == JAPO && var72 == FUJI ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == TAIW && var72 == SSEDNC ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == AUST && var72 == KANG ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == URUG && var72 == SSEDNC ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == DAIB && var72 == DUCA ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == DAIC && var72 == DUCA ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == DAID && var72 == SSEDNC ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == DAIF && var72 == VRMI ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == EUOR && var72 == SSEDNC ) || ( var1 == K25 && var2 == E1 && var3 == MJ && var5 == CETI && var72 == DUCA ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == FRAN && var72 == SSEDNC ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == DOTO && var72 == SSEDNC ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == ALLE && var72 == PARALL ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == AUTR && var72 == SSEDNC ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == BELG && var72 == SSEDNC ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == DANE && var72 == ODIN ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == ESPA && var72 == SSEDNC ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == FINL && var72 == ODIN ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == GRBR && var72 == SSEDNC ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == GREC && var72 == SSEDNC ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == HOLL && var72 == SSEDNC ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == IRLA && var72 == SSEDNC ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == ISLA && var72 == SSEDNC ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == ITAL && var72 == SSEDNC ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == NORV && var72 == ODIN ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == PORT && var72 == SSEDNC ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == SUED && var72 == ODIN ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == SUIS && var72 == SSEDNC ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == YOUG && var72 == SSEDNC ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == MAGH && var72 == DUCA ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == TURQ && var72 == DUCA ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == ARGE && var72 == DUCA ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == BRES && var72 == PARBRE ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == CHIL && var72 == SSEDNC ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == COLO && var72 == DUCA ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == AFSU && var72 == SSEDNC ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == MARO && var72 == DUCA ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == ISRA && var72 == SSEDNC ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == HONG && var72 == SSEDNC ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == TCHE && var72 == PARTCH ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == POLO && var72 == PARPOL ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == JAPO && var72 == FUJI ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == TAIW && var72 == SSEDNC ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == AUST && var72 == KANG ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == URUG && var72 == SSEDNC ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == DAIB && var72 == DUCA ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == DAIC && var72 == DUCA ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == DAID && var72 == SSEDNC ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == DAIF && var72 == VRMI ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == EUOR && var72 == SSEDNC ) || ( var1 == K25 && var2 == E1 && var3 == ML && var5 == CETI && var72 == DUCA ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == FRAN && var72 == SSEDNC ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == DOTO && var72 == SSEDNC ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == ALLE && var72 == PARALL ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == AUTR && var72 == SSEDNC ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == BELG && var72 == SSEDNC ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == DANE && var72 == ODIN ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == ESPA && var72 == SSEDNC ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == FINL && var72 == ODIN ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == GRBR && var72 == SSEDNC ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == GREC && var72 == SSEDNC ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == HOLL && var72 == SSEDNC ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == IRLA && var72 == SSEDNC ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == ISLA && var72 == SSEDNC ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == ITAL && var72 == SSEDNC ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == NORV && var72 == ODIN ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == PORT && var72 == SSEDNC ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == SUED && var72 == ODIN ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == SUIS && var72 == SSEDNC ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == YOUG && var72 == SSEDNC ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == MAGH && var72 == DUCA ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == TURQ && var72 == DUCA ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == ARGE && var72 == DUCA ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == BRES && var72 == PARBRE ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == CHIL && var72 == SSEDNC ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == COLO && var72 == DUCA ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == AFSU && var72 == SSEDNC ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == MARO && var72 == DUCA ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == ISRA && var72 == SSEDNC ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == HONG && var72 == SSEDNC ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == TCHE && var72 == PARTCH ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == POLO && var72 == PARPOL ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == JAPO && var72 == FUJI ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == TAIW && var72 == SSEDNC ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == AUST && var72 == KANG ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == URUG && var72 == SSEDNC ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == DAIB && var72 == DUCA ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == DAIC && var72 == DUCA ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == DAID && var72 == SSEDNC ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == DAIF && var72 == VRMI ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == EUOR && var72 == SSEDNC ) || ( var1 == K25 && var2 == E3 && var3 == M6 && var5 == CETI && var72 == DUCA ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == FRAN && var72 == SSEDNC ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == DOTO && var72 == SSEDNC ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == ALLE && var72 == PARALL ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == AUTR && var72 == SSEDNC ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == BELG && var72 == SSEDNC ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == DANE && var72 == ODIN ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == ESPA && var72 == SSEDNC ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == FINL && var72 == ODIN ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == GRBR && var72 == SSEDNC ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == GREC && var72 == SSEDNC ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == HOLL && var72 == SSEDNC ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == IRLA && var72 == SSEDNC ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == ISLA && var72 == SSEDNC ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == ITAL && var72 == SSEDNC ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == NORV && var72 == ODIN ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == PORT && var72 == SSEDNC ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == SUED && var72 == ODIN ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == SUIS && var72 == SSEDNC ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == YOUG && var72 == SSEDNC ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == MAGH && var72 == DUCA ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == TURQ && var72 == DUCA ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == ARGE && var72 == DUCA ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == BRES && var72 == PARBRE ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == CHIL && var72 == SSEDNC ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == COLO && var72 == DUCA ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == AFSU && var72 == SSEDNC ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == MARO && var72 == DUCA ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == ISRA && var72 == SSEDNC ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == HONG && var72 == SSEDNC ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == TCHE && var72 == PARTCH ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == POLO && var72 == PARPOL ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == JAPO && var72 == FUJI ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == TAIW && var72 == SSEDNC ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == AUST && var72 == KANG ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == URUG && var72 == SSEDNC ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == DAIB && var72 == DUCA ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == DAIC && var72 == DUCA ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == DAID && var72 == SSEDNC ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == DAIF && var72 == VRMI ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == EUOR && var72 == SSEDNC ) || ( var1 == K25 && var2 == E3 && var3 == MD && var5 == CETI && var72 == DUCA ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == FRAN && var72 == SSEDNC ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == DOTO && var72 == SSEDNC ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == ALLE && var72 == PARALL ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == AUTR && var72 == SSEDNC ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == BELG && var72 == SSEDNC ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == DANE && var72 == ODIN ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == ESPA && var72 == SSEDNC ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == FINL && var72 == ODIN ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == GRBR && var72 == SSEDNC ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == GREC && var72 == SSEDNC ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == HOLL && var72 == SSEDNC ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == IRLA && var72 == SSEDNC ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == ISLA && var72 == SSEDNC ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == ITAL && var72 == SSEDNC ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == NORV && var72 == ODIN ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == PORT && var72 == SSEDNC ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == SUED && var72 == ODIN ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == SUIS && var72 == SSEDNC ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == YOUG && var72 == SSEDNC ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == MAGH && var72 == DUCA ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == TURQ && var72 == DUCA ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == ARGE && var72 == DUCA ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == BRES && var72 == PARBRE ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == CHIL && var72 == SSEDNC ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == COLO && var72 == DUCA ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == AFSU && var72 == SSEDNC ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == MARO && var72 == DUCA ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == ISRA && var72 == SSEDNC ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == HONG && var72 == SSEDNC ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == TCHE && var72 == PARTCH ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == POLO && var72 == PARPOL ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == JAPO && var72 == FUJI ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == TAIW && var72 == SSEDNC ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == AUST && var72 == KANG ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == URUG && var72 == SSEDNC ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == DAIB && var72 == DUCA ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == DAIC && var72 == DUCA ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == DAID && var72 == SSEDNC ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == DAIF && var72 == VRMI ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == EUOR && var72 == SSEDNC ) || ( var1 == K25 && var2 == E3 && var3 == ME && var5 == CETI && var72 == DUCA ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == FRAN && var72 == SSEDNC ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == DOTO && var72 == SSEDNC ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == ALLE && var72 == PARALL ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == AUTR && var72 == SSEDNC ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == BELG && var72 == SSEDNC ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == DANE && var72 == ODIN ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == ESPA && var72 == SSEDNC ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == FINL && var72 == ODIN ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == GRBR && var72 == SSEDNC ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == GREC && var72 == SSEDNC ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == HOLL && var72 == SSEDNC ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == IRLA && var72 == SSEDNC ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == ISLA && var72 == SSEDNC ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == ITAL && var72 == SSEDNC ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == NORV && var72 == ODIN ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == PORT && var72 == SSEDNC ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == SUED && var72 == ODIN ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == SUIS && var72 == SSEDNC ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == YOUG && var72 == SSEDNC ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == MAGH && var72 == DUCA ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == TURQ && var72 == DUCA ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == ARGE && var72 == DUCA ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == BRES && var72 == PARBRE ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == CHIL && var72 == SSEDNC ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == COLO && var72 == DUCA ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == AFSU && var72 == SSEDNC ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == MARO && var72 == DUCA ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == ISRA && var72 == SSEDNC ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == HONG && var72 == SSEDNC ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == TCHE && var72 == PARTCH ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == POLO && var72 == PARPOL ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == JAPO && var72 == FUJI ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == TAIW && var72 == SSEDNC ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == AUST && var72 == KANG ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == URUG && var72 == SSEDNC ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == DAIB && var72 == DUCA ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == DAIC && var72 == DUCA ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == DAID && var72 == SSEDNC ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == DAIF && var72 == VRMI ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == EUOR && var72 == SSEDNC ) || ( var1 == K25 && var2 == E3 && var3 == MF && var5 == CETI && var72 == DUCA ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == FRAN && var72 == SSEDNC ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == DOTO && var72 == SSEDNC ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == ALLE && var72 == PARALL ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == AUTR && var72 == SSEDNC ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == BELG && var72 == SSEDNC ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == DANE && var72 == ODIN ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == ESPA && var72 == SSEDNC ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == FINL && var72 == ODIN ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == GRBR && var72 == SSEDNC ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == GREC && var72 == SSEDNC ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == HOLL && var72 == SSEDNC ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == IRLA && var72 == SSEDNC ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == ISLA && var72 == SSEDNC ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == ITAL && var72 == SSEDNC ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == NORV && var72 == ODIN ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == PORT && var72 == SSEDNC ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == SUED && var72 == ODIN ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == SUIS && var72 == SSEDNC ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == YOUG && var72 == SSEDNC ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == MAGH && var72 == DUCA ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == TURQ && var72 == DUCA ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == ARGE && var72 == DUCA ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == BRES && var72 == PARBRE ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == CHIL && var72 == SSEDNC ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == COLO && var72 == DUCA ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == AFSU && var72 == SSEDNC ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == MARO && var72 == DUCA ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == ISRA && var72 == SSEDNC ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == HONG && var72 == SSEDNC ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == TCHE && var72 == PARTCH ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == POLO && var72 == PARPOL ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == JAPO && var72 == FUJI ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == TAIW && var72 == SSEDNC ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == AUST && var72 == KANG ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == URUG && var72 == SSEDNC ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == DAIB && var72 == DUCA ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == DAIC && var72 == DUCA ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == DAID && var72 == SSEDNC ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == DAIF && var72 == VRMI ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == EUOR && var72 == SSEDNC ) || ( var1 == K25 && var2 == E3 && var3 == MJ && var5 == CETI && var72 == DUCA ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == FRAN && var72 == SSEDNC ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == DOTO && var72 == SSEDNC ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == ALLE && var72 == PARALL ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == AUTR && var72 == SSEDNC ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == BELG && var72 == SSEDNC ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == DANE && var72 == ODIN ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == ESPA && var72 == SSEDNC ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == FINL && var72 == ODIN ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == GRBR && var72 == SSEDNC ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == GREC && var72 == SSEDNC ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == HOLL && var72 == SSEDNC ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == IRLA && var72 == SSEDNC ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == ISLA && var72 == SSEDNC ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == ITAL && var72 == SSEDNC ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == NORV && var72 == ODIN ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == PORT && var72 == SSEDNC ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == SUED && var72 == ODIN ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == SUIS && var72 == SSEDNC ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == YOUG && var72 == SSEDNC ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == MAGH && var72 == DUCA ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == TURQ && var72 == DUCA ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == ARGE && var72 == DUCA ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == BRES && var72 == PARBRE ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == CHIL && var72 == SSEDNC ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == COLO && var72 == DUCA ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == AFSU && var72 == SSEDNC ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == MARO && var72 == DUCA ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == ISRA && var72 == SSEDNC ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == HONG && var72 == SSEDNC ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == TCHE && var72 == PARTCH ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == POLO && var72 == PARPOL ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == JAPO && var72 == FUJI ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == TAIW && var72 == SSEDNC ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == AUST && var72 == KANG ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == URUG && var72 == SSEDNC ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == DAIB && var72 == DUCA ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == DAIC && var72 == DUCA ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == DAID && var72 == SSEDNC ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == DAIF && var72 == VRMI ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == EUOR && var72 == SSEDNC ) || ( var1 == K25 && var2 == E3 && var3 == ML && var5 == CETI && var72 == DUCA ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == FRAN && var72 == SSEDNC ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == DOTO && var72 == SSEDNC ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == ALLE && var72 == PARALL ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == AUTR && var72 == SSEDNC ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == BELG && var72 == SSEDNC ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == DANE && var72 == ODIN ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == ESPA && var72 == SSEDNC ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == FINL && var72 == ODIN ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == GRBR && var72 == SSEDNC ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == GREC && var72 == SSEDNC ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == HOLL && var72 == SSEDNC ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == IRLA && var72 == SSEDNC ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == ISLA && var72 == SSEDNC ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == ITAL && var72 == SSEDNC ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == NORV && var72 == ODIN ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == PORT && var72 == SSEDNC ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == SUED && var72 == ODIN ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == SUIS && var72 == SSEDNC ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == YOUG && var72 == SSEDNC ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == MAGH && var72 == DUCA ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == TURQ && var72 == DUCA ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == ARGE && var72 == DUCA ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == BRES && var72 == PARBRE ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == CHIL && var72 == SSEDNC ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == COLO && var72 == DUCA ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == AFSU && var72 == SSEDNC ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == MARO && var72 == DUCA ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == ISRA && var72 == SSEDNC ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == HONG && var72 == SSEDNC ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == TCHE && var72 == PARTCH ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == POLO && var72 == PARPOL ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == SLVQ && var72 == SSEDNC ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == JAPO && var72 == FUJI ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == TAIW && var72 == SSEDNC ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == AUST && var72 == KANG ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == URUG && var72 == SSEDNC ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == DAIB && var72 == DUCA ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == DAIC && var72 == DUCA ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == DAID && var72 == SSEDNC ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == DAIF && var72 == VRMI ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == EUOR && var72 == SSEDNC ) || ( var1 == V25 && var2 == E2 && var3 == MJ && var5 == CETI && var72 == DUCA ) ) ); ( ( ( var5 == FRAN && var82 == NMAS01 ) || ( var5 == DOTO && var82 == NMAS01 ) || ( var5 == ALLE && var82 == NMAS03 ) || ( var5 == AUTR && var82 == NMAS01 ) || ( var5 == BELG && var82 == NMAS05 ) || ( var5 == DANE && var82 == NMAS02 ) || ( var5 == ESPA && var82 == NMAS01 ) || ( var5 == FINL && var82 == NMAS02 ) || ( var5 == GRBR && var82 == NMAS05 ) || ( var5 == GREC && var82 == NMAS01 ) || ( var5 == HOLL && var82 == NMAS02 ) || ( var5 == IRLA && var82 == NMAS05 ) || ( var5 == ISLA && var82 == NMAS01 ) || ( var5 == ITAL && var82 == NMAS01 ) || ( var5 == NORV && var82 == NMAS02 ) || ( var5 == PORT && var82 == NMAS01 ) || ( var5 == SUED && var82 == NMAS02 ) || ( var5 == SUIS && var82 == NMAS04 ) || ( var5 == YOUG && var82 == NMAS01 ) || ( var5 == MAGH && var82 == NMAS01 ) || ( var5 == TURQ && var82 == NMAS01 ) || ( var5 == ARGE && var82 == NMAS01 ) || ( var5 == BRES && var82 == NMAS01 ) || ( var5 == CHIL && var82 == NMAS01 ) || ( var5 == COLO && var82 == NMAS01 ) || ( var5 == AFSU && var82 == NMAS05 ) || ( var5 == MARO && var82 == NMAS01 ) || ( var5 == ISRA && var82 == NMAS01 ) || ( var5 == HONG && var82 == NMAS01 ) || ( var5 == TCHE && var82 == NMAS02 ) || ( var5 == POLO && var82 == NMAS02 ) || ( var5 == SLVQ && var82 == NMAS01 ) || ( var5 == JAPO && var82 == NMAS01 ) || ( var5 == TAIW && var82 == NMAS02 ) || ( var5 == AUST && var82 == NMAS02 ) || ( var5 == URUG && var82 == NMAS01 ) || ( var5 == DAIB && var82 == NMAS01 ) || ( var5 == DAIC && var82 == NMAS01 ) || ( var5 == DAID && var82 == NMAS01 ) || ( var5 == DAIF && var82 == NMAS01 ) || ( var5 == EUOR && var82 == NMAS01 ) || ( var5 == CETI && var82 == NMAS01 ) ) ); ( ( ( var5 == FRAN && var36 == PTCAV ) || ( var5 == DOTO && var36 == PTCAV ) || ( var5 == ALLE && var36 == PTCAV ) || ( var5 == AUTR && var36 == PTCAV ) || ( var5 == BELG && var36 == PTCAV ) || ( var5 == DANE && var36 == PTCAV ) || ( var5 == ESPA && var36 == PTCAV ) || ( var5 == FINL && var36 == PTCAV ) || ( var5 == GRBR && var36 == PTCAV ) || ( var5 == GREC && var36 == PTCAV ) || ( var5 == HOLL && var36 == PTCAV ) || ( var5 == IRLA && var36 == PTCAV ) || ( var5 == ISLA && var36 == PTCAV ) || ( var5 == ITAL && var36 == PTCAV ) || ( var5 == NORV && var36 == PTCAV ) || ( var5 == PORT && var36 == PTCAV ) || ( var5 == SUED && var36 == PTCAV ) || ( var5 == SUIS && var36 == PTCAV ) || ( var5 == YOUG && var36 == PTCAV ) || ( var5 == MAGH && var36 == PTCAV ) || ( var5 == TURQ && var36 == PTCAV ) || ( var5 == ARGE && var36 == PTCAV ) || ( var5 == BRES && var36 == PTCAV ) || ( var5 == CHIL && var36 == PTCAV ) || ( var5 == COLO && var36 == PTCAV ) || ( var5 == AFSU && var36 == PTCAV ) || ( var5 == MARO && var36 == PTCAV ) || ( var5 == ISRA && var36 == PTCAV ) || ( var5 == HONG && var36 == PTCAV ) || ( var5 == TCHE && var36 == PTCAV ) || ( var5 == POLO && var36 == PTCAV ) || ( var5 == SLVQ && var36 == PTCAV ) || ( var5 == JAPO && var36 == PTCAV ) || ( var5 == TAIW && var36 == PTCAV ) || ( var5 == AUST && var36 == PTCAV ) || ( var5 == URUG && var36 == PTCAV ) || ( var5 == DAIB && var36 == PTCAV ) || ( var5 == DAIC && var36 == PTCAV ) || ( var5 == DAID && var36 == PTCAV ) || ( var5 == DAIF && var36 == PTCAV ) || ( var5 == EUOR && var36 == PTCAV ) || ( var5 == CETI && var36 == PTCAV ) ) ); ( ( ( var5 == FRAN && var59 == SRUNLI ) || ( var5 == DOTO && var59 == SRUNLI ) || ( var5 == ALLE && var59 == SRUNLI ) || ( var5 == AUTR && var59 == SRUNLI ) || ( var5 == BELG && var59 == SRUNLI ) || ( var5 == DANE && var59 == RUNLI ) || ( var5 == ESPA && var59 == SRUNLI ) || ( var5 == FINL && var59 == RUNLI ) || ( var5 == GRBR && var59 == SRUNLI ) || ( var5 == GREC && var59 == SRUNLI ) || ( var5 == HOLL && var59 == SRUNLI ) || ( var5 == IRLA && var59 == SRUNLI ) || ( var5 == ISLA && var59 == SRUNLI ) || ( var5 == ITAL && var59 == SRUNLI ) || ( var5 == NORV && var59 == RUNLI ) || ( var5 == PORT && var59 == SRUNLI ) || ( var5 == SUED && var59 == RUNLI ) || ( var5 == SUIS && var59 == SRUNLI ) || ( var5 == YOUG && var59 == SRUNLI ) || ( var5 == MAGH && var59 == SRUNLI ) || ( var5 == TURQ && var59 == SRUNLI ) || ( var5 == ARGE && var59 == SRUNLI ) || ( var5 == BRES && var59 == SRUNLI ) || ( var5 == CHIL && var59 == SRUNLI ) || ( var5 == COLO && var59 == SRUNLI ) || ( var5 == AFSU && var59 == SRUNLI ) || ( var5 == MARO && var59 == SRUNLI ) || ( var5 == ISRA && var59 == SRUNLI ) || ( var5 == HONG && var59 == SRUNLI ) || ( var5 == TCHE && var59 == SRUNLI ) || ( var5 == POLO && var59 == SRUNLI ) || ( var5 == SLVQ && var59 == SRUNLI ) || ( var5 == JAPO && var59 == SRUNLI ) || ( var5 == TAIW && var59 == SRUNLI ) || ( var5 == AUST && var59 == SRUNLI ) || ( var5 == URUG && var59 == SRUNLI ) || ( var5 == DAIB && var59 == SRUNLI ) || ( var5 == DAIC && var59 == SRUNLI ) || ( var5 == DAID && var59 == SRUNLI ) || ( var5 == DAIF && var59 == SRUNLI ) || ( var5 == EUOR && var59 == SRUNLI ) || ( var5 == CETI && var59 == SRUNLI ) ) ); ( ( ( var1 == S64 && var5 == FRAN && var83 == PLARVN ) || ( var1 == S64 && var5 == DOTO && var83 == Autre432 ) || ( var1 == S64 && var5 == ALLE && var83 == Autre432 ) || ( var1 == S64 && var5 == AUTR && var83 == Autre432 ) || ( var1 == S64 && var5 == BELG && var83 == PLARVN ) || ( var1 == S64 && var5 == DANE && var83 == Autre432 ) || ( var1 == S64 && var5 == ESPA && var83 == Autre432 ) || ( var1 == S64 && var5 == FINL && var83 == Autre432 ) || ( var1 == S64 && var5 == GRBR && var83 == Autre432 ) || ( var1 == S64 && var5 == GREC && var83 == Autre432 ) || ( var1 == S64 && var5 == HOLL && var83 == PLARVN ) || ( var1 == S64 && var5 == IRLA && var83 == PLARPT ) || ( var1 == S64 && var5 == ISLA && var83 == Autre432 ) || ( var1 == S64 && var5 == ITAL && var83 == PLARVO ) || ( var1 == S64 && var5 == NORV && var83 == Autre432 ) || ( var1 == S64 && var5 == PORT && var83 == PLARVN ) || ( var1 == S64 && var5 == SUED && var83 == Autre432 ) || ( var1 == S64 && var5 == SUIS && var83 == Autre432 ) || ( var1 == S64 && var5 == YOUG && var83 == PLARVN ) || ( var1 == S64 && var5 == MAGH && var83 == Autre432 ) || ( var1 == S64 && var5 == TURQ && var83 == Autre432 ) || ( var1 == S64 && var5 == ARGE && var83 == Autre432 ) || ( var1 == S64 && var5 == BRES && var83 == Autre432 ) || ( var1 == S64 && var5 == CHIL && var83 == PLARVN ) || ( var1 == S64 && var5 == COLO && var83 == Autre432 ) || ( var1 == S64 && var5 == AFSU && var83 == Autre432 ) || ( var1 == S64 && var5 == MARO && var83 == Autre432 ) || ( var1 == S64 && var5 == ISRA && var83 == Autre432 ) || ( var1 == S64 && var5 == HONG && var83 == Autre432 ) || ( var1 == S64 && var5 == TCHE && var83 == Autre432 ) || ( var1 == S64 && var5 == POLO && var83 == Autre432 ) || ( var1 == S64 && var5 == SLVQ && var83 == Autre432 ) || ( var1 == S64 && var5 == JAPO && var83 == Autre432 ) || ( var1 == S64 && var5 == TAIW && var83 == Autre432 ) || ( var1 == S64 && var5 == AUST && var83 == Autre432 ) || ( var1 == S64 && var5 == URUG && var83 == Autre432 ) || ( var1 == S64 && var5 == DAIB && var83 == Autre432 ) || ( var1 == S64 && var5 == DAIC && var83 == Autre432 ) || ( var1 == S64 && var5 == DAID && var83 == Autre432 ) || ( var1 == S64 && var5 == DAIF && var83 == Autre432 ) || ( var1 == S64 && var5 == EUOR && var83 == Autre432 ) || ( var1 == S64 && var5 == CETI && var83 == Autre432 ) || ( var1 == L64 && var5 == FRAN && var83 == Autre432 ) || ( var1 == L64 && var5 == DOTO && var83 == Autre432 ) || ( var1 == L64 && var5 == ALLE && var83 == Autre432 ) || ( var1 == L64 && var5 == AUTR && var83 == Autre432 ) || ( var1 == L64 && var5 == BELG && var83 == Autre432 ) || ( var1 == L64 && var5 == DANE && var83 == Autre432 ) || ( var1 == L64 && var5 == ESPA && var83 == Autre432 ) || ( var1 == L64 && var5 == FINL && var83 == Autre432 ) || ( var1 == L64 && var5 == GRBR && var83 == Autre432 ) || ( var1 == L64 && var5 == GREC && var83 == Autre432 ) || ( var1 == L64 && var5 == HOLL && var83 == Autre432 ) || ( var1 == L64 && var5 == IRLA && var83 == Autre432 ) || ( var1 == L64 && var5 == ISLA && var83 == Autre432 ) || ( var1 == L64 && var5 == ITAL && var83 == Autre432 ) || ( var1 == L64 && var5 == NORV && var83 == Autre432 ) || ( var1 == L64 && var5 == PORT && var83 == Autre432 ) || ( var1 == L64 && var5 == SUED && var83 == Autre432 ) || ( var1 == L64 && var5 == SUIS && var83 == Autre432 ) || ( var1 == L64 && var5 == YOUG && var83 == Autre432 ) || ( var1 == L64 && var5 == MAGH && var83 == Autre432 ) || ( var1 == L64 && var5 == TURQ && var83 == Autre432 ) || ( var1 == L64 && var5 == ARGE && var83 == Autre432 ) || ( var1 == L64 && var5 == BRES && var83 == Autre432 ) || ( var1 == L64 && var5 == CHIL && var83 == Autre432 ) || ( var1 == L64 && var5 == COLO && var83 == Autre432 ) || ( var1 == L64 && var5 == AFSU && var83 == Autre432 ) || ( var1 == L64 && var5 == MARO && var83 == Autre432 ) || ( var1 == L64 && var5 == ISRA && var83 == Autre432 ) || ( var1 == L64 && var5 == HONG && var83 == Autre432 ) || ( var1 == L64 && var5 == TCHE && var83 == Autre432 ) || ( var1 == L64 && var5 == POLO && var83 == Autre432 ) || ( var1 == L64 && var5 == SLVQ && var83 == Autre432 ) || ( var1 == L64 && var5 == JAPO && var83 == Autre432 ) || ( var1 == L64 && var5 == TAIW && var83 == Autre432 ) || ( var1 == L64 && var5 == AUST && var83 == Autre432 ) || ( var1 == L64 && var5 == URUG && var83 == Autre432 ) || ( var1 == L64 && var5 == DAIB && var83 == Autre432 ) || ( var1 == L64 && var5 == DAIC && var83 == Autre432 ) || ( var1 == L64 && var5 == DAID && var83 == Autre432 ) || ( var1 == L64 && var5 == DAIF && var83 == Autre432 ) || ( var1 == L64 && var5 == EUOR && var83 == Autre432 ) || ( var1 == L64 && var5 == CETI && var83 == Autre432 ) || ( var1 == E64 && var5 == FRAN && var83 == Autre432 ) || ( var1 == E64 && var5 == DOTO && var83 == Autre432 ) || ( var1 == E64 && var5 == ALLE && var83 == Autre432 ) || ( var1 == E64 && var5 == AUTR && var83 == Autre432 ) || ( var1 == E64 && var5 == BELG && var83 == Autre432 ) || ( var1 == E64 && var5 == DANE && var83 == Autre432 ) || ( var1 == E64 && var5 == ESPA && var83 == Autre432 ) || ( var1 == E64 && var5 == FINL && var83 == Autre432 ) || ( var1 == E64 && var5 == GRBR && var83 == Autre432 ) || ( var1 == E64 && var5 == GREC && var83 == Autre432 ) || ( var1 == E64 && var5 == HOLL && var83 == Autre432 ) || ( var1 == E64 && var5 == IRLA && var83 == Autre432 ) || ( var1 == E64 && var5 == ISLA && var83 == Autre432 ) || ( var1 == E64 && var5 == ITAL && var83 == Autre432 ) || ( var1 == E64 && var5 == NORV && var83 == Autre432 ) || ( var1 == E64 && var5 == PORT && var83 == Autre432 ) || ( var1 == E64 && var5 == SUED && var83 == Autre432 ) || ( var1 == E64 && var5 == SUIS && var83 == Autre432 ) || ( var1 == E64 && var5 == YOUG && var83 == Autre432 ) || ( var1 == E64 && var5 == MAGH && var83 == Autre432 ) || ( var1 == E64 && var5 == TURQ && var83 == Autre432 ) || ( var1 == E64 && var5 == ARGE && var83 == Autre432 ) || ( var1 == E64 && var5 == BRES && var83 == Autre432 ) || ( var1 == E64 && var5 == CHIL && var83 == Autre432 ) || ( var1 == E64 && var5 == COLO && var83 == Autre432 ) || ( var1 == E64 && var5 == AFSU && var83 == Autre432 ) || ( var1 == E64 && var5 == MARO && var83 == Autre432 ) || ( var1 == E64 && var5 == ISRA && var83 == Autre432 ) || ( var1 == E64 && var5 == HONG && var83 == Autre432 ) || ( var1 == E64 && var5 == TCHE && var83 == Autre432 ) || ( var1 == E64 && var5 == POLO && var83 == Autre432 ) || ( var1 == E64 && var5 == SLVQ && var83 == Autre432 ) || ( var1 == E64 && var5 == JAPO && var83 == Autre432 ) || ( var1 == E64 && var5 == TAIW && var83 == Autre432 ) || ( var1 == E64 && var5 == AUST && var83 == Autre432 ) || ( var1 == E64 && var5 == URUG && var83 == Autre432 ) || ( var1 == E64 && var5 == DAIB && var83 == Autre432 ) || ( var1 == E64 && var5 == DAIC && var83 == Autre432 ) || ( var1 == E64 && var5 == DAID && var83 == Autre432 ) || ( var1 == E64 && var5 == DAIF && var83 == Autre432 ) || ( var1 == E64 && var5 == EUOR && var83 == Autre432 ) || ( var1 == E64 && var5 == CETI && var83 == Autre432 ) || ( var1 == D64 && var5 == FRAN && var83 == Autre432 ) || ( var1 == D64 && var5 == DOTO && var83 == Autre432 ) || ( var1 == D64 && var5 == ALLE && var83 == Autre432 ) || ( var1 == D64 && var5 == AUTR && var83 == Autre432 ) || ( var1 == D64 && var5 == BELG && var83 == Autre432 ) || ( var1 == D64 && var5 == DANE && var83 == Autre432 ) || ( var1 == D64 && var5 == ESPA && var83 == Autre432 ) || ( var1 == D64 && var5 == FINL && var83 == Autre432 ) || ( var1 == D64 && var5 == GRBR && var83 == Autre432 ) || ( var1 == D64 && var5 == GREC && var83 == Autre432 ) || ( var1 == D64 && var5 == HOLL && var83 == Autre432 ) || ( var1 == D64 && var5 == IRLA && var83 == Autre432 ) || ( var1 == D64 && var5 == ISLA && var83 == Autre432 ) || ( var1 == D64 && var5 == ITAL && var83 == Autre432 ) || ( var1 == D64 && var5 == NORV && var83 == Autre432 ) || ( var1 == D64 && var5 == PORT && var83 == Autre432 ) || ( var1 == D64 && var5 == SUED && var83 == Autre432 ) || ( var1 == D64 && var5 == SUIS && var83 == Autre432 ) || ( var1 == D64 && var5 == YOUG && var83 == Autre432 ) || ( var1 == D64 && var5 == MAGH && var83 == Autre432 ) || ( var1 == D64 && var5 == TURQ && var83 == Autre432 ) || ( var1 == D64 && var5 == ARGE && var83 == Autre432 ) || ( var1 == D64 && var5 == BRES && var83 == Autre432 ) || ( var1 == D64 && var5 == CHIL && var83 == Autre432 ) || ( var1 == D64 && var5 == COLO && var83 == Autre432 ) || ( var1 == D64 && var5 == AFSU && var83 == Autre432 ) || ( var1 == D64 && var5 == MARO && var83 == Autre432 ) || ( var1 == D64 && var5 == ISRA && var83 == Autre432 ) || ( var1 == D64 && var5 == HONG && var83 == Autre432 ) || ( var1 == D64 && var5 == TCHE && var83 == Autre432 ) || ( var1 == D64 && var5 == POLO && var83 == Autre432 ) || ( var1 == D64 && var5 == SLVQ && var83 == Autre432 ) || ( var1 == D64 && var5 == JAPO && var83 == Autre432 ) || ( var1 == D64 && var5 == TAIW && var83 == Autre432 ) || ( var1 == D64 && var5 == AUST && var83 == Autre432 ) || ( var1 == D64 && var5 == URUG && var83 == Autre432 ) || ( var1 == D64 && var5 == DAIB && var83 == Autre432 ) || ( var1 == D64 && var5 == DAIC && var83 == Autre432 ) || ( var1 == D64 && var5 == DAID && var83 == Autre432 ) || ( var1 == D64 && var5 == DAIF && var83 == Autre432 ) || ( var1 == D64 && var5 == EUOR && var83 == Autre432 ) || ( var1 == D64 && var5 == CETI && var83 == Autre432 ) || ( var1 == J64 && var5 == FRAN && var83 == Autre432 ) || ( var1 == J64 && var5 == DOTO && var83 == Autre432 ) || ( var1 == J64 && var5 == ALLE && var83 == Autre432 ) || ( var1 == J64 && var5 == AUTR && var83 == Autre432 ) || ( var1 == J64 && var5 == BELG && var83 == Autre432 ) || ( var1 == J64 && var5 == DANE && var83 == Autre432 ) || ( var1 == J64 && var5 == ESPA && var83 == Autre432 ) || ( var1 == J64 && var5 == FINL && var83 == Autre432 ) || ( var1 == J64 && var5 == GRBR && var83 == Autre432 ) || ( var1 == J64 && var5 == GREC && var83 == Autre432 ) || ( var1 == J64 && var5 == HOLL && var83 == Autre432 ) || ( var1 == J64 && var5 == IRLA && var83 == Autre432 ) || ( var1 == J64 && var5 == ISLA && var83 == Autre432 ) || ( var1 == J64 && var5 == ITAL && var83 == Autre432 ) || ( var1 == J64 && var5 == NORV && var83 == Autre432 ) || ( var1 == J64 && var5 == PORT && var83 == Autre432 ) || ( var1 == J64 && var5 == SUED && var83 == Autre432 ) || ( var1 == J64 && var5 == SUIS && var83 == Autre432 ) || ( var1 == J64 && var5 == YOUG && var83 == Autre432 ) || ( var1 == J64 && var5 == MAGH && var83 == Autre432 ) || ( var1 == J64 && var5 == TURQ && var83 == Autre432 ) || ( var1 == J64 && var5 == ARGE && var83 == Autre432 ) || ( var1 == J64 && var5 == BRES && var83 == Autre432 ) || ( var1 == J64 && var5 == CHIL && var83 == Autre432 ) || ( var1 == J64 && var5 == COLO && var83 == Autre432 ) || ( var1 == J64 && var5 == AFSU && var83 == Autre432 ) || ( var1 == J64 && var5 == MARO && var83 == Autre432 ) || ( var1 == J64 && var5 == ISRA && var83 == Autre432 ) || ( var1 == J64 && var5 == HONG && var83 == Autre432 ) || ( var1 == J64 && var5 == TCHE && var83 == Autre432 ) || ( var1 == J64 && var5 == POLO && var83 == Autre432 ) || ( var1 == J64 && var5 == SLVQ && var83 == Autre432 ) || ( var1 == J64 && var5 == JAPO && var83 == Autre432 ) || ( var1 == J64 && var5 == TAIW && var83 == Autre432 ) || ( var1 == J64 && var5 == AUST && var83 == Autre432 ) || ( var1 == J64 && var5 == URUG && var83 == Autre432 ) || ( var1 == J64 && var5 == DAIB && var83 == Autre432 ) || ( var1 == J64 && var5 == DAIC && var83 == Autre432 ) || ( var1 == J64 && var5 == DAID && var83 == Autre432 ) || ( var1 == J64 && var5 == DAIF && var83 == Autre432 ) || ( var1 == J64 && var5 == EUOR && var83 == Autre432 ) || ( var1 == J64 && var5 == CETI && var83 == Autre432 ) || ( var1 == B64 && var5 == FRAN && var83 == Autre432 ) || ( var1 == B64 && var5 == DOTO && var83 == Autre432 ) || ( var1 == B64 && var5 == ALLE && var83 == Autre432 ) || ( var1 == B64 && var5 == AUTR && var83 == Autre432 ) || ( var1 == B64 && var5 == BELG && var83 == Autre432 ) || ( var1 == B64 && var5 == DANE && var83 == Autre432 ) || ( var1 == B64 && var5 == ESPA && var83 == Autre432 ) || ( var1 == B64 && var5 == FINL && var83 == Autre432 ) || ( var1 == B64 && var5 == GRBR && var83 == Autre432 ) || ( var1 == B64 && var5 == GREC && var83 == Autre432 ) || ( var1 == B64 && var5 == HOLL && var83 == Autre432 ) || ( var1 == B64 && var5 == IRLA && var83 == Autre432 ) || ( var1 == B64 && var5 == ISLA && var83 == Autre432 ) || ( var1 == B64 && var5 == ITAL && var83 == Autre432 ) || ( var1 == B64 && var5 == NORV && var83 == Autre432 ) || ( var1 == B64 && var5 == PORT && var83 == Autre432 ) || ( var1 == B64 && var5 == SUED && var83 == Autre432 ) || ( var1 == B64 && var5 == SUIS && var83 == Autre432 ) || ( var1 == B64 && var5 == YOUG && var83 == Autre432 ) || ( var1 == B64 && var5 == MAGH && var83 == Autre432 ) || ( var1 == B64 && var5 == TURQ && var83 == Autre432 ) || ( var1 == B64 && var5 == ARGE && var83 == Autre432 ) || ( var1 == B64 && var5 == BRES && var83 == Autre432 ) || ( var1 == B64 && var5 == CHIL && var83 == Autre432 ) || ( var1 == B64 && var5 == COLO && var83 == Autre432 ) || ( var1 == B64 && var5 == AFSU && var83 == Autre432 ) || ( var1 == B64 && var5 == MARO && var83 == Autre432 ) || ( var1 == B64 && var5 == ISRA && var83 == Autre432 ) || ( var1 == B64 && var5 == HONG && var83 == Autre432 ) || ( var1 == B64 && var5 == TCHE && var83 == Autre432 ) || ( var1 == B64 && var5 == POLO && var83 == Autre432 ) || ( var1 == B64 && var5 == SLVQ && var83 == Autre432 ) || ( var1 == B64 && var5 == JAPO && var83 == Autre432 ) || ( var1 == B64 && var5 == TAIW && var83 == Autre432 ) || ( var1 == B64 && var5 == AUST && var83 == Autre432 ) || ( var1 == B64 && var5 == URUG && var83 == Autre432 ) || ( var1 == B64 && var5 == DAIB && var83 == Autre432 ) || ( var1 == B64 && var5 == DAIC && var83 == Autre432 ) || ( var1 == B64 && var5 == DAID && var83 == Autre432 ) || ( var1 == B64 && var5 == DAIF && var83 == Autre432 ) || ( var1 == B64 && var5 == EUOR && var83 == Autre432 ) || ( var1 == B64 && var5 == CETI && var83 == Autre432 ) || ( var1 == F64 && var5 == FRAN && var83 == PLARVN ) || ( var1 == F64 && var5 == DOTO && var83 == Autre432 ) || ( var1 == F64 && var5 == ALLE && var83 == Autre432 ) || ( var1 == F64 && var5 == AUTR && var83 == Autre432 ) || ( var1 == F64 && var5 == BELG && var83 == PLARVN ) || ( var1 == F64 && var5 == DANE && var83 == Autre432 ) || ( var1 == F64 && var5 == ESPA && var83 == Autre432 ) || ( var1 == F64 && var5 == FINL && var83 == Autre432 ) || ( var1 == F64 && var5 == GRBR && var83 == Autre432 ) || ( var1 == F64 && var5 == GREC && var83 == Autre432 ) || ( var1 == F64 && var5 == HOLL && var83 == PLARVN ) || ( var1 == F64 && var5 == IRLA && var83 == PLARPT ) || ( var1 == F64 && var5 == ISLA && var83 == Autre432 ) || ( var1 == F64 && var5 == ITAL && var83 == PLARVO ) || ( var1 == F64 && var5 == NORV && var83 == Autre432 ) || ( var1 == F64 && var5 == PORT && var83 == PLARVN ) || ( var1 == F64 && var5 == SUED && var83 == Autre432 ) || ( var1 == F64 && var5 == SUIS && var83 == Autre432 ) || ( var1 == F64 && var5 == YOUG && var83 == PLARVN ) || ( var1 == F64 && var5 == MAGH && var83 == Autre432 ) || ( var1 == F64 && var5 == TURQ && var83 == Autre432 ) || ( var1 == F64 && var5 == ARGE && var83 == Autre432 ) || ( var1 == F64 && var5 == BRES && var83 == Autre432 ) || ( var1 == F64 && var5 == CHIL && var83 == PLARVN ) || ( var1 == F64 && var5 == COLO && var83 == Autre432 ) || ( var1 == F64 && var5 == AFSU && var83 == Autre432 ) || ( var1 == F64 && var5 == MARO && var83 == Autre432 ) || ( var1 == F64 && var5 == ISRA && var83 == Autre432 ) || ( var1 == F64 && var5 == HONG && var83 == Autre432 ) || ( var1 == F64 && var5 == TCHE && var83 == Autre432 ) || ( var1 == F64 && var5 == POLO && var83 == Autre432 ) || ( var1 == F64 && var5 == SLVQ && var83 == Autre432 ) || ( var1 == F64 && var5 == JAPO && var83 == Autre432 ) || ( var1 == F64 && var5 == TAIW && var83 == Autre432 ) || ( var1 == F64 && var5 == AUST && var83 == Autre432 ) || ( var1 == F64 && var5 == URUG && var83 == Autre432 ) || ( var1 == F64 && var5 == DAIB && var83 == Autre432 ) || ( var1 == F64 && var5 == DAIC && var83 == Autre432 ) || ( var1 == F64 && var5 == DAID && var83 == Autre432 ) || ( var1 == F64 && var5 == DAIF && var83 == Autre432 ) || ( var1 == F64 && var5 == EUOR && var83 == Autre432 ) || ( var1 == F64 && var5 == CETI && var83 == Autre432 ) || ( var1 == K25 && var5 == FRAN && var83 == Autre432 ) || ( var1 == K25 && var5 == DOTO && var83 == Autre432 ) || ( var1 == K25 && var5 == ALLE && var83 == Autre432 ) || ( var1 == K25 && var5 == AUTR && var83 == Autre432 ) || ( var1 == K25 && var5 == BELG && var83 == Autre432 ) || ( var1 == K25 && var5 == DANE && var83 == Autre432 ) || ( var1 == K25 && var5 == ESPA && var83 == Autre432 ) || ( var1 == K25 && var5 == FINL && var83 == Autre432 ) || ( var1 == K25 && var5 == GRBR && var83 == Autre432 ) || ( var1 == K25 && var5 == GREC && var83 == Autre432 ) || ( var1 == K25 && var5 == HOLL && var83 == Autre432 ) || ( var1 == K25 && var5 == IRLA && var83 == Autre432 ) || ( var1 == K25 && var5 == ISLA && var83 == Autre432 ) || ( var1 == K25 && var5 == ITAL && var83 == Autre432 ) || ( var1 == K25 && var5 == NORV && var83 == Autre432 ) || ( var1 == K25 && var5 == PORT && var83 == Autre432 ) || ( var1 == K25 && var5 == SUED && var83 == Autre432 ) || ( var1 == K25 && var5 == SUIS && var83 == Autre432 ) || ( var1 == K25 && var5 == YOUG && var83 == Autre432 ) || ( var1 == K25 && var5 == MAGH && var83 == Autre432 ) || ( var1 == K25 && var5 == TURQ && var83 == Autre432 ) || ( var1 == K25 && var5 == ARGE && var83 == Autre432 ) || ( var1 == K25 && var5 == BRES && var83 == Autre432 ) || ( var1 == K25 && var5 == CHIL && var83 == Autre432 ) || ( var1 == K25 && var5 == COLO && var83 == Autre432 ) || ( var1 == K25 && var5 == AFSU && var83 == Autre432 ) || ( var1 == K25 && var5 == MARO && var83 == Autre432 ) || ( var1 == K25 && var5 == ISRA && var83 == Autre432 ) || ( var1 == K25 && var5 == HONG && var83 == Autre432 ) || ( var1 == K25 && var5 == TCHE && var83 == Autre432 ) || ( var1 == K25 && var5 == POLO && var83 == Autre432 ) || ( var1 == K25 && var5 == SLVQ && var83 == Autre432 ) || ( var1 == K25 && var5 == JAPO && var83 == Autre432 ) || ( var1 == K25 && var5 == TAIW && var83 == Autre432 ) || ( var1 == K25 && var5 == AUST && var83 == Autre432 ) || ( var1 == K25 && var5 == URUG && var83 == Autre432 ) || ( var1 == K25 && var5 == DAIB && var83 == Autre432 ) || ( var1 == K25 && var5 == DAIC && var83 == Autre432 ) || ( var1 == K25 && var5 == DAID && var83 == Autre432 ) || ( var1 == K25 && var5 == DAIF && var83 == Autre432 ) || ( var1 == K25 && var5 == EUOR && var83 == Autre432 ) || ( var1 == K25 && var5 == CETI && var83 == Autre432 ) || ( var1 == V25 && var5 == FRAN && var83 == Autre432 ) || ( var1 == V25 && var5 == DOTO && var83 == Autre432 ) || ( var1 == V25 && var5 == ALLE && var83 == Autre432 ) || ( var1 == V25 && var5 == AUTR && var83 == Autre432 ) || ( var1 == V25 && var5 == BELG && var83 == Autre432 ) || ( var1 == V25 && var5 == DANE && var83 == Autre432 ) || ( var1 == V25 && var5 == ESPA && var83 == Autre432 ) || ( var1 == V25 && var5 == FINL && var83 == Autre432 ) || ( var1 == V25 && var5 == GRBR && var83 == Autre432 ) || ( var1 == V25 && var5 == GREC && var83 == Autre432 ) || ( var1 == V25 && var5 == HOLL && var83 == Autre432 ) || ( var1 == V25 && var5 == IRLA && var83 == Autre432 ) || ( var1 == V25 && var5 == ISLA && var83 == Autre432 ) || ( var1 == V25 && var5 == ITAL && var83 == Autre432 ) || ( var1 == V25 && var5 == NORV && var83 == Autre432 ) || ( var1 == V25 && var5 == PORT && var83 == Autre432 ) || ( var1 == V25 && var5 == SUED && var83 == Autre432 ) || ( var1 == V25 && var5 == SUIS && var83 == Autre432 ) || ( var1 == V25 && var5 == YOUG && var83 == Autre432 ) || ( var1 == V25 && var5 == MAGH && var83 == Autre432 ) || ( var1 == V25 && var5 == TURQ && var83 == Autre432 ) || ( var1 == V25 && var5 == ARGE && var83 == Autre432 ) || ( var1 == V25 && var5 == BRES && var83 == Autre432 ) || ( var1 == V25 && var5 == CHIL && var83 == Autre432 ) || ( var1 == V25 && var5 == COLO && var83 == Autre432 ) || ( var1 == V25 && var5 == AFSU && var83 == Autre432 ) || ( var1 == V25 && var5 == MARO && var83 == Autre432 ) || ( var1 == V25 && var5 == ISRA && var83 == Autre432 ) || ( var1 == V25 && var5 == HONG && var83 == Autre432 ) || ( var1 == V25 && var5 == TCHE && var83 == Autre432 ) || ( var1 == V25 && var5 == POLO && var83 == Autre432 ) || ( var1 == V25 && var5 == SLVQ && var83 == Autre432 ) || ( var1 == V25 && var5 == JAPO && var83 == Autre432 ) || ( var1 == V25 && var5 == TAIW && var83 == Autre432 ) || ( var1 == V25 && var5 == AUST && var83 == Autre432 ) || ( var1 == V25 && var5 == URUG && var83 == Autre432 ) || ( var1 == V25 && var5 == DAIB && var83 == Autre432 ) || ( var1 == V25 && var5 == DAIC && var83 == Autre432 ) || ( var1 == V25 && var5 == DAID && var83 == Autre432 ) || ( var1 == V25 && var5 == DAIF && var83 == Autre432 ) || ( var1 == V25 && var5 == EUOR && var83 == Autre432 ) || ( var1 == V25 && var5 == CETI && var83 == Autre432 ) ) ); ( ( ( var1 == S64 && var5 == FRAN && var56 == APL02 ) || ( var1 == S64 && var5 == DOTO && var56 == APL02 ) || ( var1 == S64 && var5 == ALLE && var56 == APL02 ) || ( var1 == S64 && var5 == AUTR && var56 == APL02 ) || ( var1 == S64 && var5 == BELG && var56 == APL02 ) || ( var1 == S64 && var5 == DANE && var56 == APL02 ) || ( var1 == S64 && var5 == ESPA && var56 == APL02 ) || ( var1 == S64 && var5 == FINL && var56 == APL02 ) || ( var1 == S64 && var5 == GRBR && var56 == APL02 ) || ( var1 == S64 && var5 == GREC && var56 == APL02 ) || ( var1 == S64 && var5 == HOLL && var56 == APL02 ) || ( var1 == S64 && var5 == IRLA && var56 == APL02 ) || ( var1 == S64 && var5 == ISLA && var56 == APL02 ) || ( var1 == S64 && var5 == ITAL && var56 == APL02 ) || ( var1 == S64 && var5 == NORV && var56 == APL02 ) || ( var1 == S64 && var5 == PORT && var56 == APL02 ) || ( var1 == S64 && var5 == SUED && var56 == APL02 ) || ( var1 == S64 && var5 == SUIS && var56 == APL02 ) || ( var1 == S64 && var5 == YOUG && var56 == APL02 ) || ( var1 == S64 && var5 == MAGH && var56 == APL02 ) || ( var1 == S64 && var5 == TURQ && var56 == APL02 ) || ( var1 == S64 && var5 == ARGE && var56 == APL02 ) || ( var1 == S64 && var5 == BRES && var56 == APL02 ) || ( var1 == S64 && var5 == CHIL && var56 == APL02 ) || ( var1 == S64 && var5 == COLO && var56 == APL02 ) || ( var1 == S64 && var5 == AFSU && var56 == APL02 ) || ( var1 == S64 && var5 == MARO && var56 == APL02 ) || ( var1 == S64 && var5 == ISRA && var56 == APL02 ) || ( var1 == S64 && var5 == HONG && var56 == APL02 ) || ( var1 == S64 && var5 == TCHE && var56 == APL02 ) || ( var1 == S64 && var5 == POLO && var56 == APL02 ) || ( var1 == S64 && var5 == SLVQ && var56 == APL02 ) || ( var1 == S64 && var5 == JAPO && var56 == APL02 ) || ( var1 == S64 && var5 == TAIW && var56 == APL02 ) || ( var1 == S64 && var5 == AUST && var56 == APL02 ) || ( var1 == S64 && var5 == URUG && var56 == APL02 ) || ( var1 == S64 && var5 == DAIB && var56 == APL02 ) || ( var1 == S64 && var5 == DAIC && var56 == APL02 ) || ( var1 == S64 && var5 == DAID && var56 == APL02 ) || ( var1 == S64 && var5 == DAIF && var56 == APL02 ) || ( var1 == S64 && var5 == EUOR && var56 == APL02 ) || ( var1 == S64 && var5 == CETI && var56 == APL02 ) || ( var1 == L64 && var5 == FRAN && var56 == APL02 ) || ( var1 == L64 && var5 == DOTO && var56 == APL02 ) || ( var1 == L64 && var5 == ALLE && var56 == APL02 ) || ( var1 == L64 && var5 == AUTR && var56 == APL02 ) || ( var1 == L64 && var5 == BELG && var56 == APL02 ) || ( var1 == L64 && var5 == DANE && var56 == APL02 ) || ( var1 == L64 && var5 == ESPA && var56 == APL02 ) || ( var1 == L64 && var5 == FINL && var56 == APL02 ) || ( var1 == L64 && var5 == GRBR && var56 == APL02 ) || ( var1 == L64 && var5 == GREC && var56 == APL02 ) || ( var1 == L64 && var5 == HOLL && var56 == APL02 ) || ( var1 == L64 && var5 == IRLA && var56 == APL02 ) || ( var1 == L64 && var5 == ISLA && var56 == APL02 ) || ( var1 == L64 && var5 == ITAL && var56 == APL02 ) || ( var1 == L64 && var5 == NORV && var56 == APL02 ) || ( var1 == L64 && var5 == PORT && var56 == APL02 ) || ( var1 == L64 && var5 == SUED && var56 == APL02 ) || ( var1 == L64 && var5 == SUIS && var56 == APL02 ) || ( var1 == L64 && var5 == YOUG && var56 == APL02 ) || ( var1 == L64 && var5 == MAGH && var56 == APL02 ) || ( var1 == L64 && var5 == TURQ && var56 == APL02 ) || ( var1 == L64 && var5 == ARGE && var56 == APL02 ) || ( var1 == L64 && var5 == BRES && var56 == APL02 ) || ( var1 == L64 && var5 == CHIL && var56 == APL02 ) || ( var1 == L64 && var5 == COLO && var56 == APL02 ) || ( var1 == L64 && var5 == AFSU && var56 == APL02 ) || ( var1 == L64 && var5 == MARO && var56 == APL02 ) || ( var1 == L64 && var5 == ISRA && var56 == APL02 ) || ( var1 == L64 && var5 == HONG && var56 == APL02 ) || ( var1 == L64 && var5 == TCHE && var56 == APL02 ) || ( var1 == L64 && var5 == POLO && var56 == APL02 ) || ( var1 == L64 && var5 == SLVQ && var56 == APL02 ) || ( var1 == L64 && var5 == JAPO && var56 == APL02 ) || ( var1 == L64 && var5 == TAIW && var56 == APL02 ) || ( var1 == L64 && var5 == AUST && var56 == APL02 ) || ( var1 == L64 && var5 == URUG && var56 == APL02 ) || ( var1 == L64 && var5 == DAIB && var56 == APL02 ) || ( var1 == L64 && var5 == DAIC && var56 == APL02 ) || ( var1 == L64 && var5 == DAID && var56 == APL02 ) || ( var1 == L64 && var5 == DAIF && var56 == APL02 ) || ( var1 == L64 && var5 == EUOR && var56 == APL02 ) || ( var1 == L64 && var5 == CETI && var56 == APL02 ) || ( var1 == E64 && var5 == FRAN && var56 == APL02 ) || ( var1 == E64 && var5 == DOTO && var56 == APL02 ) || ( var1 == E64 && var5 == ALLE && var56 == APL02 ) || ( var1 == E64 && var5 == AUTR && var56 == APL02 ) || ( var1 == E64 && var5 == BELG && var56 == APL02 ) || ( var1 == E64 && var5 == DANE && var56 == APL02 ) || ( var1 == E64 && var5 == ESPA && var56 == APL02 ) || ( var1 == E64 && var5 == FINL && var56 == APL02 ) || ( var1 == E64 && var5 == GRBR && var56 == APL02 ) || ( var1 == E64 && var5 == GREC && var56 == APL02 ) || ( var1 == E64 && var5 == HOLL && var56 == APL02 ) || ( var1 == E64 && var5 == IRLA && var56 == APL02 ) || ( var1 == E64 && var5 == ISLA && var56 == APL02 ) || ( var1 == E64 && var5 == ITAL && var56 == APL02 ) || ( var1 == E64 && var5 == NORV && var56 == APL02 ) || ( var1 == E64 && var5 == PORT && var56 == APL02 ) || ( var1 == E64 && var5 == SUED && var56 == APL02 ) || ( var1 == E64 && var5 == SUIS && var56 == APL02 ) || ( var1 == E64 && var5 == YOUG && var56 == APL02 ) || ( var1 == E64 && var5 == MAGH && var56 == APL02 ) || ( var1 == E64 && var5 == TURQ && var56 == APL02 ) || ( var1 == E64 && var5 == ARGE && var56 == APL02 ) || ( var1 == E64 && var5 == BRES && var56 == APL02 ) || ( var1 == E64 && var5 == CHIL && var56 == APL02 ) || ( var1 == E64 && var5 == COLO && var56 == APL02 ) || ( var1 == E64 && var5 == AFSU && var56 == APL02 ) || ( var1 == E64 && var5 == MARO && var56 == APL02 ) || ( var1 == E64 && var5 == ISRA && var56 == APL02 ) || ( var1 == E64 && var5 == HONG && var56 == APL02 ) || ( var1 == E64 && var5 == TCHE && var56 == APL02 ) || ( var1 == E64 && var5 == POLO && var56 == APL02 ) || ( var1 == E64 && var5 == SLVQ && var56 == APL02 ) || ( var1 == E64 && var5 == JAPO && var56 == APL02 ) || ( var1 == E64 && var5 == TAIW && var56 == APL02 ) || ( var1 == E64 && var5 == AUST && var56 == APL02 ) || ( var1 == E64 && var5 == URUG && var56 == APL02 ) || ( var1 == E64 && var5 == DAIB && var56 == APL02 ) || ( var1 == E64 && var5 == DAIC && var56 == APL02 ) || ( var1 == E64 && var5 == DAID && var56 == APL02 ) || ( var1 == E64 && var5 == DAIF && var56 == APL02 ) || ( var1 == E64 && var5 == EUOR && var56 == APL02 ) || ( var1 == E64 && var5 == CETI && var56 == APL02 ) || ( var1 == D64 && var5 == FRAN && var56 == APL02 ) || ( var1 == D64 && var5 == DOTO && var56 == APL02 ) || ( var1 == D64 && var5 == ALLE && var56 == APL01 ) || ( var1 == D64 && var5 == AUTR && var56 == APL02 ) || ( var1 == D64 && var5 == BELG && var56 == APL02 ) || ( var1 == D64 && var5 == DANE && var56 == APL02 ) || ( var1 == D64 && var5 == ESPA && var56 == APL02 ) || ( var1 == D64 && var5 == FINL && var56 == APL02 ) || ( var1 == D64 && var5 == GRBR && var56 == APL02 ) || ( var1 == D64 && var5 == GREC && var56 == APL02 ) || ( var1 == D64 && var5 == HOLL && var56 == APL02 ) || ( var1 == D64 && var5 == IRLA && var56 == APL02 ) || ( var1 == D64 && var5 == ISLA && var56 == APL02 ) || ( var1 == D64 && var5 == ITAL && var56 == APL01 ) || ( var1 == D64 && var5 == NORV && var56 == APL02 ) || ( var1 == D64 && var5 == PORT && var56 == APL02 ) || ( var1 == D64 && var5 == SUED && var56 == APL02 ) || ( var1 == D64 && var5 == SUIS && var56 == APL02 ) || ( var1 == D64 && var5 == YOUG && var56 == APL02 ) || ( var1 == D64 && var5 == MAGH && var56 == APL02 ) || ( var1 == D64 && var5 == TURQ && var56 == APL02 ) || ( var1 == D64 && var5 == ARGE && var56 == APL02 ) || ( var1 == D64 && var5 == BRES && var56 == APL02 ) || ( var1 == D64 && var5 == CHIL && var56 == APL02 ) || ( var1 == D64 && var5 == COLO && var56 == APL02 ) || ( var1 == D64 && var5 == AFSU && var56 == APL02 ) || ( var1 == D64 && var5 == MARO && var56 == APL02 ) || ( var1 == D64 && var5 == ISRA && var56 == APL02 ) || ( var1 == D64 && var5 == HONG && var56 == APL02 ) || ( var1 == D64 && var5 == TCHE && var56 == APL02 ) || ( var1 == D64 && var5 == POLO && var56 == APL02 ) || ( var1 == D64 && var5 == SLVQ && var56 == APL02 ) || ( var1 == D64 && var5 == JAPO && var56 == APL02 ) || ( var1 == D64 && var5 == TAIW && var56 == APL02 ) || ( var1 == D64 && var5 == AUST && var56 == APL02 ) || ( var1 == D64 && var5 == URUG && var56 == APL02 ) || ( var1 == D64 && var5 == DAIB && var56 == APL02 ) || ( var1 == D64 && var5 == DAIC && var56 == APL02 ) || ( var1 == D64 && var5 == DAID && var56 == APL02 ) || ( var1 == D64 && var5 == DAIF && var56 == APL02 ) || ( var1 == D64 && var5 == EUOR && var56 == APL02 ) || ( var1 == D64 && var5 == CETI && var56 == APL02 ) || ( var1 == J64 && var5 == FRAN && var56 == APL02 ) || ( var1 == J64 && var5 == DOTO && var56 == APL02 ) || ( var1 == J64 && var5 == ALLE && var56 == APL02 ) || ( var1 == J64 && var5 == AUTR && var56 == APL02 ) || ( var1 == J64 && var5 == BELG && var56 == APL02 ) || ( var1 == J64 && var5 == DANE && var56 == APL02 ) || ( var1 == J64 && var5 == ESPA && var56 == APL02 ) || ( var1 == J64 && var5 == FINL && var56 == APL02 ) || ( var1 == J64 && var5 == GRBR && var56 == APL02 ) || ( var1 == J64 && var5 == GREC && var56 == APL02 ) || ( var1 == J64 && var5 == HOLL && var56 == APL02 ) || ( var1 == J64 && var5 == IRLA && var56 == APL02 ) || ( var1 == J64 && var5 == ISLA && var56 == APL02 ) || ( var1 == J64 && var5 == ITAL && var56 == APL02 ) || ( var1 == J64 && var5 == NORV && var56 == APL02 ) || ( var1 == J64 && var5 == PORT && var56 == APL02 ) || ( var1 == J64 && var5 == SUED && var56 == APL02 ) || ( var1 == J64 && var5 == SUIS && var56 == APL02 ) || ( var1 == J64 && var5 == YOUG && var56 == APL02 ) || ( var1 == J64 && var5 == MAGH && var56 == APL02 ) || ( var1 == J64 && var5 == TURQ && var56 == APL02 ) || ( var1 == J64 && var5 == ARGE && var56 == APL02 ) || ( var1 == J64 && var5 == BRES && var56 == APL02 ) || ( var1 == J64 && var5 == CHIL && var56 == APL02 ) || ( var1 == J64 && var5 == COLO && var56 == APL02 ) || ( var1 == J64 && var5 == AFSU && var56 == APL02 ) || ( var1 == J64 && var5 == MARO && var56 == APL02 ) || ( var1 == J64 && var5 == ISRA && var56 == APL02 ) || ( var1 == J64 && var5 == HONG && var56 == APL02 ) || ( var1 == J64 && var5 == TCHE && var56 == APL02 ) || ( var1 == J64 && var5 == POLO && var56 == APL02 ) || ( var1 == J64 && var5 == SLVQ && var56 == APL02 ) || ( var1 == J64 && var5 == JAPO && var56 == APL02 ) || ( var1 == J64 && var5 == TAIW && var56 == APL02 ) || ( var1 == J64 && var5 == AUST && var56 == APL02 ) || ( var1 == J64 && var5 == URUG && var56 == APL02 ) || ( var1 == J64 && var5 == DAIB && var56 == APL02 ) || ( var1 == J64 && var5 == DAIC && var56 == APL02 ) || ( var1 == J64 && var5 == DAID && var56 == APL02 ) || ( var1 == J64 && var5 == DAIF && var56 == APL02 ) || ( var1 == J64 && var5 == EUOR && var56 == APL02 ) || ( var1 == J64 && var5 == CETI && var56 == APL02 ) || ( var1 == B64 && var5 == FRAN && var56 == APL02 ) || ( var1 == B64 && var5 == DOTO && var56 == APL02 ) || ( var1 == B64 && var5 == ALLE && var56 == APL02 ) || ( var1 == B64 && var5 == AUTR && var56 == APL02 ) || ( var1 == B64 && var5 == BELG && var56 == APL02 ) || ( var1 == B64 && var5 == DANE && var56 == APL02 ) || ( var1 == B64 && var5 == ESPA && var56 == APL02 ) || ( var1 == B64 && var5 == FINL && var56 == APL02 ) || ( var1 == B64 && var5 == GRBR && var56 == APL02 ) || ( var1 == B64 && var5 == GREC && var56 == APL02 ) || ( var1 == B64 && var5 == HOLL && var56 == APL02 ) || ( var1 == B64 && var5 == IRLA && var56 == APL02 ) || ( var1 == B64 && var5 == ISLA && var56 == APL02 ) || ( var1 == B64 && var5 == ITAL && var56 == APL02 ) || ( var1 == B64 && var5 == NORV && var56 == APL02 ) || ( var1 == B64 && var5 == PORT && var56 == APL02 ) || ( var1 == B64 && var5 == SUED && var56 == APL02 ) || ( var1 == B64 && var5 == SUIS && var56 == APL02 ) || ( var1 == B64 && var5 == YOUG && var56 == APL02 ) || ( var1 == B64 && var5 == MAGH && var56 == APL02 ) || ( var1 == B64 && var5 == TURQ && var56 == APL02 ) || ( var1 == B64 && var5 == ARGE && var56 == APL02 ) || ( var1 == B64 && var5 == BRES && var56 == APL02 ) || ( var1 == B64 && var5 == CHIL && var56 == APL02 ) || ( var1 == B64 && var5 == COLO && var56 == APL02 ) || ( var1 == B64 && var5 == AFSU && var56 == APL02 ) || ( var1 == B64 && var5 == MARO && var56 == APL02 ) || ( var1 == B64 && var5 == ISRA && var56 == APL02 ) || ( var1 == B64 && var5 == HONG && var56 == APL02 ) || ( var1 == B64 && var5 == TCHE && var56 == APL02 ) || ( var1 == B64 && var5 == POLO && var56 == APL02 ) || ( var1 == B64 && var5 == SLVQ && var56 == APL02 ) || ( var1 == B64 && var5 == JAPO && var56 == APL02 ) || ( var1 == B64 && var5 == TAIW && var56 == APL02 ) || ( var1 == B64 && var5 == AUST && var56 == APL02 ) || ( var1 == B64 && var5 == URUG && var56 == APL02 ) || ( var1 == B64 && var5 == DAIB && var56 == APL02 ) || ( var1 == B64 && var5 == DAIC && var56 == APL02 ) || ( var1 == B64 && var5 == DAID && var56 == APL02 ) || ( var1 == B64 && var5 == DAIF && var56 == APL02 ) || ( var1 == B64 && var5 == EUOR && var56 == APL02 ) || ( var1 == B64 && var5 == CETI && var56 == APL02 ) || ( var1 == F64 && var5 == FRAN && var56 == APL02 ) || ( var1 == F64 && var5 == DOTO && var56 == APL02 ) || ( var1 == F64 && var5 == ALLE && var56 == APL02 ) || ( var1 == F64 && var5 == AUTR && var56 == APL02 ) || ( var1 == F64 && var5 == BELG && var56 == APL02 ) || ( var1 == F64 && var5 == DANE && var56 == APL02 ) || ( var1 == F64 && var5 == ESPA && var56 == APL02 ) || ( var1 == F64 && var5 == FINL && var56 == APL02 ) || ( var1 == F64 && var5 == GRBR && var56 == APL02 ) || ( var1 == F64 && var5 == GREC && var56 == APL02 ) || ( var1 == F64 && var5 == HOLL && var56 == APL02 ) || ( var1 == F64 && var5 == IRLA && var56 == APL02 ) || ( var1 == F64 && var5 == ISLA && var56 == APL02 ) || ( var1 == F64 && var5 == ITAL && var56 == APL02 ) || ( var1 == F64 && var5 == NORV && var56 == APL02 ) || ( var1 == F64 && var5 == PORT && var56 == APL02 ) || ( var1 == F64 && var5 == SUED && var56 == APL02 ) || ( var1 == F64 && var5 == SUIS && var56 == APL02 ) || ( var1 == F64 && var5 == YOUG && var56 == APL02 ) || ( var1 == F64 && var5 == MAGH && var56 == APL02 ) || ( var1 == F64 && var5 == TURQ && var56 == APL02 ) || ( var1 == F64 && var5 == ARGE && var56 == APL02 ) || ( var1 == F64 && var5 == BRES && var56 == APL02 ) || ( var1 == F64 && var5 == CHIL && var56 == APL02 ) || ( var1 == F64 && var5 == COLO && var56 == APL02 ) || ( var1 == F64 && var5 == AFSU && var56 == APL02 ) || ( var1 == F64 && var5 == MARO && var56 == APL02 ) || ( var1 == F64 && var5 == ISRA && var56 == APL02 ) || ( var1 == F64 && var5 == HONG && var56 == APL02 ) || ( var1 == F64 && var5 == TCHE && var56 == APL02 ) || ( var1 == F64 && var5 == POLO && var56 == APL02 ) || ( var1 == F64 && var5 == SLVQ && var56 == APL02 ) || ( var1 == F64 && var5 == JAPO && var56 == APL02 ) || ( var1 == F64 && var5 == TAIW && var56 == APL02 ) || ( var1 == F64 && var5 == AUST && var56 == APL02 ) || ( var1 == F64 && var5 == URUG && var56 == APL02 ) || ( var1 == F64 && var5 == DAIB && var56 == APL02 ) || ( var1 == F64 && var5 == DAIC && var56 == APL02 ) || ( var1 == F64 && var5 == DAID && var56 == APL02 ) || ( var1 == F64 && var5 == DAIF && var56 == APL02 ) || ( var1 == F64 && var5 == EUOR && var56 == APL02 ) || ( var1 == F64 && var5 == CETI && var56 == APL02 ) || ( var1 == K25 && var5 == FRAN && var56 == APL02 ) || ( var1 == K25 && var5 == DOTO && var56 == APL02 ) || ( var1 == K25 && var5 == ALLE && var56 == APL02 ) || ( var1 == K25 && var5 == AUTR && var56 == APL02 ) || ( var1 == K25 && var5 == BELG && var56 == APL02 ) || ( var1 == K25 && var5 == DANE && var56 == APL02 ) || ( var1 == K25 && var5 == ESPA && var56 == APL02 ) || ( var1 == K25 && var5 == FINL && var56 == APL02 ) || ( var1 == K25 && var5 == GRBR && var56 == APL02 ) || ( var1 == K25 && var5 == GREC && var56 == APL02 ) || ( var1 == K25 && var5 == HOLL && var56 == APL02 ) || ( var1 == K25 && var5 == IRLA && var56 == APL02 ) || ( var1 == K25 && var5 == ISLA && var56 == APL02 ) || ( var1 == K25 && var5 == ITAL && var56 == APL02 ) || ( var1 == K25 && var5 == NORV && var56 == APL02 ) || ( var1 == K25 && var5 == PORT && var56 == APL02 ) || ( var1 == K25 && var5 == SUED && var56 == APL02 ) || ( var1 == K25 && var5 == SUIS && var56 == APL02 ) || ( var1 == K25 && var5 == YOUG && var56 == APL02 ) || ( var1 == K25 && var5 == MAGH && var56 == APL02 ) || ( var1 == K25 && var5 == TURQ && var56 == APL02 ) || ( var1 == K25 && var5 == ARGE && var56 == APL02 ) || ( var1 == K25 && var5 == BRES && var56 == APL02 ) || ( var1 == K25 && var5 == CHIL && var56 == APL02 ) || ( var1 == K25 && var5 == COLO && var56 == APL02 ) || ( var1 == K25 && var5 == AFSU && var56 == APL02 ) || ( var1 == K25 && var5 == MARO && var56 == APL02 ) || ( var1 == K25 && var5 == ISRA && var56 == APL02 ) || ( var1 == K25 && var5 == HONG && var56 == APL02 ) || ( var1 == K25 && var5 == TCHE && var56 == APL02 ) || ( var1 == K25 && var5 == POLO && var56 == APL02 ) || ( var1 == K25 && var5 == SLVQ && var56 == APL02 ) || ( var1 == K25 && var5 == JAPO && var56 == APL02 ) || ( var1 == K25 && var5 == TAIW && var56 == APL02 ) || ( var1 == K25 && var5 == AUST && var56 == APL02 ) || ( var1 == K25 && var5 == URUG && var56 == APL02 ) || ( var1 == K25 && var5 == DAIB && var56 == APL02 ) || ( var1 == K25 && var5 == DAIC && var56 == APL02 ) || ( var1 == K25 && var5 == DAID && var56 == APL02 ) || ( var1 == K25 && var5 == DAIF && var56 == APL02 ) || ( var1 == K25 && var5 == EUOR && var56 == APL02 ) || ( var1 == K25 && var5 == CETI && var56 == APL02 ) || ( var1 == V25 && var5 == FRAN && var56 == APL02 ) || ( var1 == V25 && var5 == DOTO && var56 == APL02 ) || ( var1 == V25 && var5 == ALLE && var56 == APL02 ) || ( var1 == V25 && var5 == AUTR && var56 == APL02 ) || ( var1 == V25 && var5 == BELG && var56 == APL02 ) || ( var1 == V25 && var5 == DANE && var56 == APL02 ) || ( var1 == V25 && var5 == ESPA && var56 == APL02 ) || ( var1 == V25 && var5 == FINL && var56 == APL02 ) || ( var1 == V25 && var5 == GRBR && var56 == APL02 ) || ( var1 == V25 && var5 == GREC && var56 == APL02 ) || ( var1 == V25 && var5 == HOLL && var56 == APL02 ) || ( var1 == V25 && var5 == IRLA && var56 == APL02 ) || ( var1 == V25 && var5 == ISLA && var56 == APL02 ) || ( var1 == V25 && var5 == ITAL && var56 == APL02 ) || ( var1 == V25 && var5 == NORV && var56 == APL02 ) || ( var1 == V25 && var5 == PORT && var56 == APL02 ) || ( var1 == V25 && var5 == SUED && var56 == APL02 ) || ( var1 == V25 && var5 == SUIS && var56 == APL02 ) || ( var1 == V25 && var5 == YOUG && var56 == APL02 ) || ( var1 == V25 && var5 == MAGH && var56 == APL02 ) || ( var1 == V25 && var5 == TURQ && var56 == APL02 ) || ( var1 == V25 && var5 == ARGE && var56 == APL02 ) || ( var1 == V25 && var5 == BRES && var56 == APL02 ) || ( var1 == V25 && var5 == CHIL && var56 == APL02 ) || ( var1 == V25 && var5 == COLO && var56 == APL02 ) || ( var1 == V25 && var5 == AFSU && var56 == APL02 ) || ( var1 == V25 && var5 == MARO && var56 == APL02 ) || ( var1 == V25 && var5 == ISRA && var56 == APL02 ) || ( var1 == V25 && var5 == HONG && var56 == APL02 ) || ( var1 == V25 && var5 == TCHE && var56 == APL02 ) || ( var1 == V25 && var5 == POLO && var56 == APL02 ) || ( var1 == V25 && var5 == SLVQ && var56 == APL02 ) || ( var1 == V25 && var5 == JAPO && var56 == APL02 ) || ( var1 == V25 && var5 == TAIW && var56 == APL02 ) || ( var1 == V25 && var5 == AUST && var56 == APL02 ) || ( var1 == V25 && var5 == URUG && var56 == APL02 ) || ( var1 == V25 && var5 == DAIB && var56 == APL02 ) || ( var1 == V25 && var5 == DAIC && var56 == APL02 ) || ( var1 == V25 && var5 == DAID && var56 == APL02 ) || ( var1 == V25 && var5 == DAIF && var56 == APL02 ) || ( var1 == V25 && var5 == EUOR && var56 == APL02 ) || ( var1 == V25 && var5 == CETI && var56 == APL02 ) ) ); ( ( ( var5 == FRAN && var39 == CATADI ) || ( var5 == DOTO && var39 == CATADI ) || ( var5 == ALLE && var39 == CATADI ) || ( var5 == AUTR && var39 == CATADI ) || ( var5 == BELG && var39 == CATADI ) || ( var5 == DANE && var39 == CATADI ) || ( var5 == ESPA && var39 == CATADI ) || ( var5 == FINL && var39 == CATADI ) || ( var5 == GRBR && var39 == CATADI ) || ( var5 == GREC && var39 == CATADI ) || ( var5 == HOLL && var39 == CATADI ) || ( var5 == IRLA && var39 == CATADI ) || ( var5 == ISLA && var39 == CATADI ) || ( var5 == ITAL && var39 == CATADI ) || ( var5 == NORV && var39 == CATADI ) || ( var5 == PORT && var39 == CATADI ) || ( var5 == SUED && var39 == CATADI ) || ( var5 == SUIS && var39 == CATADI ) || ( var5 == YOUG && var39 == CATADI ) || ( var5 == MAGH && var39 == SCATAD ) || ( var5 == TURQ && var39 == SCATAD ) || ( var5 == ARGE && var39 == SCATAD ) || ( var5 == BRES && var39 == SCATAD ) || ( var5 == CHIL && var39 == CATADI ) || ( var5 == COLO && var39 == SCATAD ) || ( var5 == AFSU && var39 == CATADI ) || ( var5 == MARO && var39 == SCATAD ) || ( var5 == ISRA && var39 == CATADI ) || ( var5 == HONG && var39 == CATADI ) || ( var5 == TCHE && var39 == CATADI ) || ( var5 == POLO && var39 == CATADI ) || ( var5 == SLVQ && var39 == CATADI ) || ( var5 == JAPO && var39 == CATADI ) || ( var5 == TAIW && var39 == CATADI ) || ( var5 == AUST && var39 == CATADI ) || ( var5 == URUG && var39 == CATADI ) || ( var5 == DAIB && var39 == SCATAD ) || ( var5 == DAIC && var39 == SCATAD ) || ( var5 == DAID && var39 == CATADI ) || ( var5 == DAIF && var39 == SCATAD ) || ( var5 == EUOR && var39 == CATADI ) || ( var5 == CETI && var39 == SCATAD ) ) ); ( ( ( var5 == FRAN && var53 == SSPFIL ) || ( var5 == DOTO && var53 == SSPFIL ) || ( var5 == ALLE && var53 == SSPFIL ) || ( var5 == AUTR && var53 == SSPFIL ) || ( var5 == BELG && var53 == SSPFIL ) || ( var5 == DANE && var53 == SSPFIL ) || ( var5 == ESPA && var53 == SSPFIL ) || ( var5 == FINL && var53 == SSPFIL ) || ( var5 == GRBR && var53 == SSPFIL ) || ( var5 == GREC && var53 == SSPFIL ) || ( var5 == HOLL && var53 == SSPFIL ) || ( var5 == IRLA && var53 == SSPFIL ) || ( var5 == ISLA && var53 == SSPFIL ) || ( var5 == ITAL && var53 == SSPFIL ) || ( var5 == NORV && var53 == SSPFIL ) || ( var5 == PORT && var53 == SSPFIL ) || ( var5 == SUED && var53 == SSPFIL ) || ( var5 == SUIS && var53 == SSPFIL ) || ( var5 == YOUG && var53 == SSPFIL ) || ( var5 == MAGH && var53 == AVPFIL ) || ( var5 == TURQ && var53 == SSPFIL ) || ( var5 == ARGE && var53 == SSPFIL ) || ( var5 == BRES && var53 == SSPFIL ) || ( var5 == CHIL && var53 == SSPFIL ) || ( var5 == COLO && var53 == SSPFIL ) || ( var5 == AFSU && var53 == SSPFIL ) || ( var5 == MARO && var53 == AVPFIL ) || ( var5 == ISRA && var53 == SSPFIL ) || ( var5 == HONG && var53 == SSPFIL ) || ( var5 == TCHE && var53 == SSPFIL ) || ( var5 == POLO && var53 == SSPFIL ) || ( var5 == SLVQ && var53 == SSPFIL ) || ( var5 == JAPO && var53 == SSPFIL ) || ( var5 == TAIW && var53 == SSPFIL ) || ( var5 == AUST && var53 == SSPFIL ) || ( var5 == URUG && var53 == SSPFIL ) || ( var5 == DAIB && var53 == AVPFIL ) || ( var5 == DAIC && var53 == SSPFIL ) || ( var5 == DAID && var53 == SSPFIL ) || ( var5 == DAIF && var53 == AVPFIL ) || ( var5 == EUOR && var53 == SSPFIL ) || ( var5 == CETI && var53 == AVPFIL ) ) );